Skip to main content
U.S. flag

An official website of the United States government

Official websites use .gov
A .gov website belongs to an official government organization in the United States.

Secure .gov websites use HTTPS
A lock ( ) or https:// means you’ve safely connected to the .gov website. Share sensitive information only on official, secure websites.

Search Publications by: Richard M. Silver ()

Search Title, Abstract, Conference, Citation, Keyword or Author
Displaying 26 - 50 of 154

Imaging Optics and CCD Camera Characterization for Metrology

February 19, 2017
Author(s)
S Fox, Edward A. Kornegay, Richard M. Silver
Optical semiconductor characterization and metrology rely heavily on digital camera imaging and its associated optical imaging systems. This work characterizes the performance of a widely used, commercially available camera and compares its performance to

Atomically precise device fabrication

December 7, 2016
Author(s)
Joseph A. Hagmann, Xiqiao Wang, Pradeep N. Namboodiri, Richard M. Silver, Curt A. Richter
An improved capacity to control matter at the atomic scale is central to the advancement of nanotechnology. The complementary metal-oxide-semiconductor (CMOS) devices that power existing computing technology, which continue to scale down in size as

Optimizing Subfield Targets for Nanoscale Quantitative Optical Imaging

September 29, 2016
Author(s)
Mark Alexander Henn, Bryan M. Barnes, Hui Zhou, Martin Y. Sohn, Richard M. Silver
The full 3-D scattered field above finite sets of features has been shown to contain a continuum of spatial frequency information, and with novel optical microscopy techniques and electromagnetic modeling, deep-subwavelength geometrical parameters can be

Enabling Quantitative Optical Imaging for In-die-capable Critical Dimension Targets

April 4, 2016
Author(s)
Bryan M. Barnes, Mark Alexander Henn, Martin Y. Sohn, Hui Zhou, Richard M. Silver
Dimensional scaling trends will eventually bring the semiconductor critical dimensions (CDs) down to only a few atoms in width. New optical techniques are required to address intra-die variability for these CDs using sufficiently small in-die metrology

Silicon epitaxy on H-terminated Si (100) surfaces at 250deg C

March 31, 2016
Author(s)
Xiao Deng, Pradeep N. Namboodiri, Kai Li, Xiqiao Wang, Gheorghe Stan, Alline F. Myers, Xinbin Cheng, Tongbao Li, Richard M. Silver
Silicon on silicon growth at low temperatures has become increasing important due to its use to encapsulate buried nanoscale dopant devices. The performance of atomic scale devices is fundamentally affected by the quality of the silicon matrix in which the

Optimizing Hybrid Metrology: Rigorous Implementation of Bayesian and Combined Regression.

November 12, 2015
Author(s)
Mark Alexander Henn, Richard M. Silver, John S. Villarrubia, Nien F. Zhang, Hui Zhou, Bryan M. Barnes, Andras Vladar, Bin Ming
Hybrid metrology, e.g. the combination of several measurement techniques to determine critical dimensions, is an important approach to meet the needs of semiconductor industry. A proper use of hybrid metrology may not only yield more reliable estimates for

Deep-subwavelength Nanometric Image Reconstruction using Fourier Domain Optical Normalization

November 5, 2015
Author(s)
Jing Qin, Richard M. Silver, Bryan M. Barnes, Hui Zhou, Ronald G. Dixson, Mark Alexander Henn
Quantitative optical measurements of deep sub-wavelength, three-dimensional, nanometric structures with sensitivity to sub-nanometer details address an ubiquitous measurement challenge. A Fourier domain normalization approach is used in the Fourier optical

Quantitative tool characterization of a 193 nm scatterfield microscope

September 9, 2015
Author(s)
Martin Y. Sohn, Bryan M. Barnes, Hui Zhou, Richard M. Silver
Optical microscope tool characterization has been investigated for the quantitative measurements of deep sub-wavelength features using a Fourier plane normalization method. The NIST 193 nm scatterfield microscope operating with an ArF Excimer laser, which

Scatterfield Microscopy and the Fundamental Limits of Optical Defect Metrology

April 14, 2015
Author(s)
Richard M. Silver, Bryan M. Barnes, Martin Y. Sohn, Hui Zhou
Defect inspection remains a critical manufacturing challenge due to the competing requirements between throughput and very high resolution. Currently only optical methods provide an acceptable solution, although there are a number of process layers and

Optimizing Hybrid Metrology: Rigorous Implementation of Bayesian and Combined Regression

March 19, 2015
Author(s)
Mark Alexander Henn, Richard M. Silver, Nien F. Zhang, Hui Zhou, Bryan M. Barnes, Bin Ming, Andras Vladar, John S. Villarrubia
Hybrid metrology, e.g. the combination of several measurement techniques to determine critical dimensions, is an important approach to meet the needs of semiconductor industry. A proper use of hybrid metrology may not only yield more reliable estimates for

Effects of wafer noise on the detection of 20 nm defects using optical volumetric inspection

February 11, 2015
Author(s)
Bryan M. Barnes, Francois R. Goasmat, Martin Y. Sohn, Hui Zhou, Andras Vladar, Richard M. Silver
Patterning imperfections in semiconductor device fabrication may either be noncritical [e.g., line edge roughness (LER)] or critical, such as defects that impact manufacturing yield. As the sizes of the pitches and linewidths decrease in lithography

193 nm scatterfield microscope illumination optics

December 17, 2014
Author(s)
Martin Y. Sohn, Richard M. Silver
A scatterfield microscope for deep sub-wavelength semiconductor metrology using 193 nm light has been designed. In addition to accommodating the fixed numerical aperture and size of its commercial catadioptric objective lens, the illumination optics are

Pattern Transfer of Hydrogen Depassivation Lithography Patterns into Silicon with Atomically Traceable Placement and Size Control

July 17, 2014
Author(s)
Josh Ballard, Stephen McDonnell, Don Dick, Maia Bischof, Joseph Fu, D Jaeger, James Owen , w Owen, Justin Alexander, Udi Fuchs, Pradeep Namboodiri, Kai Li, John Randall, Robert Wallace, Yves Chabal, Richard Reidy, Richard M. Silver
Reducing the scale of etched nanostructures below the 10 nm range eventually will require an atomic scale understanding of the masks being used in order to maintain exquisite control over both feature size and feature density. Here, we demonstrate a method

Optimizing Hybrid Metrology through a Consistent Multi-Tool Parameter Set and Uncertainty Model

April 14, 2014
Author(s)
Richard M. Silver, Bryan Barnes, Nien F. Zhang, Hui Zhou, Andras Vladar, John S. Villarrubia, Regis J. Kline, Daniel Sunday, Alok Vaid
There has been significant interest in hybrid metrology as a novel method for reducing overall measurement uncertainty and optimizing measurement throughput (speed) through rigorous combinations of two or more different measurement techniques into a single

Optical volumetric inspection of sub-20 nm patterned defects with wafer noise

April 2, 2014
Author(s)
Bryan M. Barnes, Francois R. Goasmat, Martin Y. Sohn, Hui Zhou, Richard M. Silver, Andras Vladar, Abraham Arceo
We have previously introduced a new data analysis method that more thoroughly utilizes scattered optical intensity data collected during defect inspection using bright-field microscopy. This volumetric approach allows conversion of focus resolved 2-D

Three-dimensional deep sub-wavelength defect detection using (lambda) = 193 nm optical microscopy

October 25, 2013
Author(s)
Bryan M. Barnes, Martin Y. Sohn, Francois R. Goasmat, Hui Zhou, Andras Vladar, Richard M. Silver, Abraham Arceo
Identifying defects in photolithographic patterning is a persistent challenge in semiconductor manufacturing. Well-established optical methods in current use are jeopardized by upcoming sub-20 nm device dimensions. Volumetric processing of focus-resolved

Fourier Domain Optical Tool Normalization for Quantitative Parametric Image Reconstruction

September 5, 2013
Author(s)
Jing Qin, Richard M. Silver, Bryan M. Barnes, Hui Zhou, Francois R. Goasmat
There has been much recent work in developing advanced optical metrology methods that use imaging optics for critical dimension measurements and defect detection. Sensitivity to nanometer scale changes has been observed when measuring critical dimensions

Harnessing 3D Scattered Optical Fields for sub-20 nm Defect Detection

June 24, 2013
Author(s)
Bryan M. Barnes, Martin Y. Sohn, Francois R. Goasmat, Hui Zhou, Richard M. Silver, Abraham Arceo
Experimental imaging at =193 nm of sub-resolved defects performed at several focus positions yields a volume of spatial and intensity data. Defects are located in a differential volume, given a reference, with up to 5x increase in sensitivity.

Distributed Force Probe Bending Model of CD-AFM Bias

April 1, 2013
Author(s)
Vladimir A. Ukraintsev, Ndubuisi George Orji, Theodore V. Vorburger, Ronald G. Dixson, Joseph Fu, Richard M. Silver
Critical Dimension AFM (CD-AFM) is a widely used reference metrology technique. To characterize modern semiconductor devices, small and flexible probes, often 15 nm to 20 nm in diameter, are used. Recent studies have reported uncontrolled and significant