Skip to main content
U.S. flag

An official website of the United States government

Official websites use .gov
A .gov website belongs to an official government organization in the United States.

Secure .gov websites use HTTPS
A lock ( ) or https:// means you’ve safely connected to the .gov website. Share sensitive information only on official, secure websites.

2024 FCMN Presentations

Invited Oral Presentations
Poster Presentations
Abstract Book

Because of the large interest in the presentations given at this Conference and as a service to the semiconductor community, the organizers have made the slides from many of the talks and posters presented available here. These slides should be considered the sole property of the speaker/presenter. Please do not alter or reproduce any of the slides presented.

Adobe Acrobat Reader will be needed to view these documents. If you do not have this program, you may download it free of charge (link is external). The software is identified in order to assist users of this information service. In no case does such identification imply recommendation or endorsement by the National Institute of Standards and Technology.

The Conference organizers would like to thank each of the presenters who have made their slides available! Additional talks and posters will be added as we obtain permission from the speakers/authors.

Invited Oral Presentations

Plenary

Big Data, Little Data, and Virtual Twins: Accelerating Process Development for Semiconductor Device Fabrication
Rick Gottscho, LAM Research

Metrology Initiatives at ASML and ARCNL
Arie den Boef, ASML

The State of the Semiconductor Industry – Economics and Geopolitics
G. Dan Hutcheson, Techinsights

Emerging Materials and Devices

Ultrafast Spectroscopy of/for Nanoelectronics
Xiaoqin (Elaine) Li, University of Texas-Austin

In-Line Metrology for Sub-2nm Technology Nodes
Daniel Schmidt, IBM

CHIPS Act & Industry Trends

Advancing Measurement Science for Microelectronics: CHIPS R&D Metrology Program
Marla Dowell, National Institute of Standards and Technology, 

Latest Developments of Automated Transmission Electron Microscopy for Semiconductor Industry
Zhenxin Zhong, TFS

Applications of Machine Learning to STEM and 4DSTEM Characterization
Colin Ophus, Berkeley

Microscopies: New Developments in Chemical/Property Characterization

Coulomb-correlated Electrons in a Transmission Electron Microscope
Rudolf Haindl, Max Planck Institute

Scanning Probe Microscopy: Pushing the Boundaries with Multi-Probes and Reverse Tip Sample Scanning
Umberto Celano, Imec

X-ray Metrology for Characterizing Advanced Nanoelectronics Structure
Kazuhiko Omote, Rigaku

Advanced Packaging

Fault Isolation Approaches for 3D IC Systems
Yan Li, Samsung

Advances in the Use of AI for X-ray Reconstruction: Applications in Electronics Packaging
Matthew Andrew, ZEISS Innovation Center

Next Generation Metrologies & Fault Detection Methods in Support of Advanced Packaging
Pooya Tadayon, Intel

New Developments in Chemical/Electrical Characterization

Advances in APT Quantification and Distortion Correction Approaches
Claudia Fleischmann, Imec

Arrangement of Nanosized hBN Quantum Sensor Spots by Helium Ion Microscope
Kento Sasaki, Univ. of Tokyo

Patterning Metrology

Wide-area Delayering Based 3D Tomography Solution as a Window into the Semiconductor Manufacturing
Jonghyeok Park, Samsung Electronics

3D Metrology and Inspection of Advanced NAND and DRAM Devices Via Full 3D Characterization with FIB-SEM Tomography
Dmitry Klochkov, Carl Zeiss SMT GmbH

Measuring the Complex Behavior of Phase in the EUV Regime and Implications for Phase Shift Masks
Matt Hettermann, EUVTech

Advanced Manufacturing Metrology – Defects

MI(Metrology&Inspection)’s Deliverable Solutions for Next Journey
Byoungho Lee, Hitachi High-Tech Corporation

Advanced and Future Logic Device Architectures: Challenges and Solutions in Materials Metrology
Shay Wolfling, Nova

Revolutionizing EUV Lithography Metrology for Sub-3nm Nodes: Validation of a Novel AFM System for Precise 3D Characterization in HVM
Hamed Sadeghian, Nearfield Instrument

EUV and Advanced Patterning

New Directions for Optical Critical Dimension Metrology
Nigel Smith, Nanometrics

Soft X-Ray Scatterometry for 3D CD Metrology on Individual GAA Nanosheets
Tamar Cromwijk, ASML

Overview Of Critical Dimension Small Angle X-ray Scattering (CD-SAXS)
Guillaume Freychet, CEA Leti

Tomographies: New Developments in 3D Analysis

Understanding the Damage and Microstructural Evolution in Cu Metallizations During Thermomechanical-Fatigue
Michael Reisinger, KAI GmbH

Advances in 3D Tomography and 4DSTEM: Perspectives to Study Semiconductor Devices
Nicolas Gauquelin, Univ. Antwerp EMAT

Chip Scan: 3D X-ray Imaging of CMOS Circuits
Tony Levi, Univ. of Southern California

Integrating Atom Probe Tomography and Transmission Electron Microscopy into a Single Instrument
Eric Van Cappellen, Thermo Fisher Scientific

Spintronics-Based Devices

Advanced Electron Microscopy Based Metrology Approaches
Amanda Petford-Long, Argonne National Lab

Characterization of Magnetic Textures in Materials for Spintronics-based Devices
Rafal Dunin-Borkowski, Ernst Ruska Centre for Microscopy and Spectroscopy with Electrons

MRAM End of Line Magnetic Testing: From Single Bit Properties to Full Memory Qualification
Siamak Salimy, Hprobe, 

Poster Presentations

001, Characterization of Crystal Structure and Morphology of Ultra-Thin 2D MoS2 Layers Using X-ray Metrology
Lixia Rong, Hao-Ling Tang, Luc Thomas, Hanson Kwok, Michael Phillips, Hongwen Zhou, Qinyi Fu, Lavinia E. Nistor, Jaesoo Ahn, and Mahendra Pakala
Applied Materials, Inc., 3050 Bowers Avenue, Santa Clara, CA

002, Complementary Field-Effect Transistors (CFET): Metrology Challenges and Solutions
J. Bogdanowicz1, A.-L. Charley1, M. Saib1, M. Beggiato1, G. Lorusso1, V. Brissonneau1, E. Dupuy1, R. Loo1,5, Y. Shimura1, A. Akula1, H. Arimura1, BT Chan1, D. Zhou1, N. Horiguchi1, S. Biesmans1, P. Leray1, J. Hung2, I. Turovets2, S. Wei3, P. Hönicke4, and R. Ciesielski4
1imec, Kapeldreef 75, 3001 Leuven, Belgium
2Nova Ltd., 5 David Fikes St.,Rehovot 7632805, Israel
3Hitachi High-Tech Corp.,552-53, Shinkocho, Hitachinaka-shi, Ibaraki, Japan
4Physikalisch-Technische Bundesanstalt (PTB), Abbestr. 2-12, 10587 Berlin, Germany
5Ghent University, Department of Solid-State Sciences, Krijgslaan 281, building S1, 9000 Ghent, Belgium

003, Asymmetry of Junction Line Defect Distribution in WS2-WSe2 Lateral / Vertical Hetero-structures Revealed by TERS Imaging
Andrey Krayev1, A. Edward Robinson1, Peng Chen2, Xidong Duan3, Zhengwei Zhang3, and Xiangfeng Duan4
1HORIBA Scientific, 359 Bel Marin Keys Blvd, Novato, CA94949, USA
2Southern University of Science and Technology, Shenzhen, China 
3Department of Applied Chemistry, Hunan University, China
4Department of Chemistry and Biochemistry, University of California, Los Angeles, USA

004, Atom Probe Tomography Using an Extreme Ultraviolet Pulsed Light Source
Luis Miaja-Avila, Benjamin W. Caplins, Jacob M. Garcia, Ann N. Chiaramonti, and Norman A. Sanford
National Institute of Standards and Technology, Boulder, CO, USA

005, Merging Integrated Photonics and Electron Beams: μeV-Electron-Spectroscopy and Single-Particle Heralding
A. Feist1,2, G. Huang3,4, G. Arend1,2, Y. Yang3,4, J.-W. Henke1,2, A. S. Raja3,4, F. J. Kappert1,2, R. N. Wang3,4, H. Lourenço-Martins1,2, Z. Qiu3,4, J. Liu3,4, O. Kfir1,2, T.J. Kippenberg3,4, and C. Ropers1,2
1Department for Ultrafast Dynamics, Max Planck Institute of Multidisciplinary Sciences, Göttingen, DE
2IV. Physical Institute – Solids and Nanostructures, University of Göttingen, Göttingen, DE
3Institute of Physics, Swiss Federal Institute of Technology Lausanne, Lausanne, CH
4Center for Quantum Science and Engineering, EPFL, Lausanne, CH

006, Characterization of 2D Transition Metal Dichalcogenide Layers by Combined TOF-SIMS and in-situ AFM
Rita Tilmann1, Stefan Heiserer2, Valentina Spampinato1,3, Yuanyuan Shi1,4, Jill Serron1, Albert Minj1, Benjamin Groven1, Georg S. Duesberg2, Thomas Hantschel1, Paul A.W. van der Heide1, and Alexis Franquet1
1IMEC, Kapeldreef 75, 3001 Leuven, Belgium
2University of the Bundeswehr Munich & Center for Integrated Sensor Systems (SENS), Institute of Physics, EIT2, Neubiberg, Germany
3Università degli Studi di Catania, Dipartimento di Scienze Chimiche, Viale A. Doria 6, Catania, Italy
4School of Microelectronics, University of Science and Technology of China, Hefei, China

007, Hard X-ray Photoelectron Spectroscopy (HAXPES) in Material Development
T. Sloboda1, S. Eriksson1, M. Lundwall1, P. Amann1,2, M. Masatake3, B. Krömker2, T. Wiell1, B. Gerace4, A. J. Yost4, T. Nishihara5, and T. Hashimoto1
1Scienta Omicron AB, Danmarksgatan 22, 75323 Uppsala, Sweden
2Scienta Omicron GmbH, Limburger Strasse 75, 65232 Taunusstein, Germany
3Scienta Omicron Inc. 6-17-10 Minami-Oi Shinagawa-ku, 140-0013 Tokyo, Japan
4Scienta Omicron Inc. 3222 E. 1st Ave, #521, Denver, CO 80206 United States
5School of Science and Technology, Meiji University, 214-8571 Kawasaki, Japan

008, Analysis of Alternative Dopants for Organic Light-Emitting Diodes Layers Using a Correlative TOF-SIMS & XPS Protocol
C. Guyot, J.P. Barnes, O. Renault, and T. Maindron
Univ. Grenoble Alpes, CEA, Leti, F-38000 Grenoble, France

009, Spatially Resolved Chemical Metrology on EUV Resist
Komal Pandey1, Quentin Evrard2, Albert M. Brouwer2, C.B. Chuang1, Maarten van Es1, and Diederik J. Maas1
1TNO, Stieltjesweg 1, 2628CK, Delft, The Netherlands
2University of Amsterdam, Science Park 904, 1090 GD Amsterdam, The Netherlands

010, Development of a Double Mirror CC-Cs-corrector for Low-Voltage SEM
Diederik Maas1,2, Maurice Krielaart1, Léon van Velzen1, and Pieter Kriut1
1Delft University of Technology, Applied Sciences, Lorentzweg 1, Delft, 2628 CJ, The Netherlands,
2Netherlands organisation of Applied Science (TNO), Stieltjesweg 1, Delft, 2628 CK, The Netherlands

011, The Interface Study of Photoresist/Underlayer Using Hybrid R-ray Reflectivity and X-ray Standing Wave Approach
Atul Tiwari1, Roberto Fallica2, Marcelo D. Ackermann1, and Igor A. Makhotkin1
1Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, 7522 NB Enschede, The Netherlands
2IMEC, Kapeldreef 75, 3001 Leuven, Belgium

012, Paradigm Shift: Conical Frustum Arrays for Electron-Beam Goniometry
A. C. Madison1, K. A. Cochrane2, J. S. Villarrubia1, D. A. Westly1, R. G. Dixson1, C. R. Copeland1, J. D. Gerling2, A. D. Brodie2, J. A. Liddle1, L. P. Muray2, and S. M. Stavis1
1National Institute of Standards and Technology, Gaithersburg, Maryland 20899
2KLA Corporation, Milpitas, California 95035

013, Automation of Precession-Assisted Nanobeam Diffraction and 4D-STEM Measurements for Multimodal Characterization of Semiconductor Devices
Daniel Němeček1 and Robert Stroud2
1TESCAN GROUP, Libušina třída 21, 62300 Brno, Czech Republic
2TESCAN GROUP, 765 Commonwealth Dr #101, Warrendale, PA 15086, USA

014, Self Focusing SIMS to Enable Boron Quantification in Small Si and SiGe Structures
Alexis Franquet1, Valentina Spampinato1,2, and Paul A.W. van der Heide1
1IMEC, Kapeldreef 75, 3001 Leuven, Belgium
2Università degli Studi di Catania, Dipartimento di Scienze Chimiche, Viale A. Doria 6, 95125 Catania, Italy

015, Etching Monitoring of Advanced Forksheet Devices Using AKONIS SIMS Tool
A-S. Robbes1, O. Dulac1, K. Soulard1, M. Adier1, S. Choi1, D. Jacobson2, A. Merkulov3, R. Tilmann3, P.A.W. van der Heide3, and A. Franquet3
1CAMECA, 29 quai des grésillons 92622 Gennevilliers Cedex
2CAMECA Instruments Inc., 5500 Nobel Drive, Madison, WI, USA
3IMEC, Kapeldreef 75, 3001 Leuven, Belgium

016, In-situ and Ex-situ Diagnostics for Ion Measurement and Control for RF-driven Plasma Tools
A. Verma1, T. Gilmore1, and D. Simpson2
1Impedans Ltd, Chase House, City Junction Business Park, Northern Cross, Dublin, D17 AK63, Ireland
2Centre for Light Matter Interactions, School of Mathematics and Physics, Queen’s University Belfast, UK

017, Improving Self-Focusing SIMS On Hybrid SIMS Instruments – Instrumental Aspects and Method Development
T. Grehl1, S. Kayser1, J. Zakel1, D. Rading1, A. Pirkl1, H. Arlinghaus1, V. Spampinato2,3, and A. Franquet2
1IONTOF GmbH, 48149 Muenster, Germany
2MCA, IMEC, Kapeldreef 75, 3001 Leuven, Belgium
3Università degli Studi di Catania, Dipartimento di Scienze Chimiche, Viale A. Doria 6, 95125 Catania, Italy

018, Towards a Better Understanding of GaN Based HEMT Electrical Response Thanks to XPS, nano-Auger and STEM-EDX Multi-technique Approach
K. Gaffar1, S. Béchu1, G. Patriarche2, and M. Bouttemy1
1Institut Lavoisier de Versailles, UVSQ, Université Paris-Saclay, CNRS, UMR 8180, 45 avenue des Etats-Unis, 78035 Versailles CEDEX, France
2C2N, Université Paris-Saclay, CNRS, Palaiseau, France

019, EBIC Mapping of Threshold Voltage Distribution During Device Turn-on in SiC MOSFETs
Greg M. Johnson1, Andreas Rummel2, and Heiko Stegmann3
1Carl Zeiss Microscopy, Dublin, CA
2Kliendiek Nanotechnik, Reutlingen, Germany
3Carl Zeiss Microscopy GmbH, Munich, Germany

020, Coming of Age of Computational SEM
Benjamin D. Bunday, Shari Klotzkin, Douglas Patriarche, and Yvette Ball
AMAG nanometro, Schenectady, NY, 12303, USA

021, Effective Pupil Apodization in Digital Holographic Microscopy
T. Cromwijk1,2, M. Noordam1,2, S. Witte1,2, J. F. de Boer2, A. den Boef3
1Department of Physics and Astronomy, and LaserLaB, Vrije Universiteit, The Netherlands
2Advanced Research Center for Nanolithography (ARCNL), The Netherlands
3ASML Netherlands B.V., The Netherlands

022, Polarization Sensitive Digital Holographic Microscopy
M. L. Noordam1,2, T. Cromwijk1,2, J. F. de Boer2, and A. J. den Boef1,2,3
1Advanced Research Center for Nanolithography (ARCNL), Science Park 106, 1098 XG Amsterdam, The Netherlands
2Department of Physics and Astronomy, and LaserLaB, Vrije Universiteit, De Boelelaan 1081, 1081 HV Amsterdam, The Netherlands
3ASML Netherlands B.V., De Run 6501, 5504 DR Veldhoven, The Netherlands

023, Combining In-Line Atomic Force Microscopy and Scatterometry for Metrology of 3D Holographic Patterns in Roll-to-Roll Nanoscale Manufacturing
Barbara Groh1, Kwon Sang Lee1, Shashank Venkatesan2, Luis Arturo Aguirre1, Sofia Frey1, Liam G. Connolly3, Michael Baldea2, Chih-Hao Chang1, and Michael Cullinan1
1Walker Department of Mechanical Engineering, University of Texas at Austin
2McKetta Department of Chemical Engineering, University of Texas at Austin
3Microsystems and Nanotechnology Division, Physical Measurement Laboratory, National Institute of Standards and Technology

024, Dark Uncertainty in Hybrid Metrology for Semiconductor Manufacturing
Ronald G. Dixson, Adam L. Pintar, R. Joseph Kline, Thomas A. Germer, John S. Villarrubia, and Samuel M. Stavis
National Institute of Standards and Technology, Gaithersburg, Maryland 20899

025, GaN/InGaN µLEDs Study by Cathodoluminescence and Photo-Sensitive Kelvin Probe Force Microscopy
Palmerina González-Izquierdo, Névine Rochat, Davide Zoccarato, Fabian Rol, Julia Simon, Patrick Le Maitre, Marion Volpert, Matthew Charles, Matthieu Lafossas, Simona Torrengo, Narciso Gambacorti, and Łukasz Borowik
Univ. Grenoble Alpes, CEA, Leti, F-38000 Grenoble, France

026, 3D Corner Residue Monitoring for CFET Gate Patterning Using CD-SEM
Wei Sun1, Emmanuel Dupuy2, Il Gyo Koo2, BT Chan2, Gian Lorusso2, Janusz Bogdanowicz2, Anne-Laure Charley2, Jef Geypen2, Patrick Carolan2, Kei Sakai1, Zhenghan Li1, and Miki Isawa1
1Hitachi High-Tech Corp.,552-53, Shinkocho, Hitachinaka-shi, Ibaraki, Japan
2IMEC, Kapeldreef 75, 3001 Leuven, Belgium

027, Fluorescence-Guided Sub-micron Optical Photothermal Infrared Spectroscopy (O-PTIR) for the Localization and Identification of Defects and Contaminants
Eoghan Dillon and Michael K. F. Lo
Photothermal Spectroscopy Corp. 325 Chapala Street, Santa Barbara, CA, 93101

028, Crystal Orientation Quantification In Less Than 10 Seconds
D. Lopez1, L.Grieger2, M. Van der Haar2, and D. Beckers2
1Malvern Panalytical Inc., 2400 Computer Drive, Westborough, MA, 10581, United States
2Malvern Panalytical B.V., Lelyweg 1, 7600AA Almelo, The Netherlands

029, Instrument Development for Spectroscopic Ellipsometry and Diffractometry in the EUV
S. L. Moffitt1, B. M. Barnes1, T. A. Germer1, S. Grantham1, E. L. Shirley1, M. Y. Sohn1, D. F. Sunday2, and C. Tarrio1
1Physical Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, MD, 20899, USA
2Materials Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, MD, 20899, USA

030, Defect Localization in metallization on Advanced Packages Using Magnetic Imaging
T. Venkatesan1,2, Nesco Lettsome1, Jeet Patel1, Solomon Saul1, Fred Cawthorne1, Fred Wellstood1, Steve Garrahan1, and Henri Lezec3
1Neocera Magma LLC, 10000 Virginia Manor Road, Beltsville MD 20705
2CQRT, Department of Physics and Astronomy, University of Oklahoma, Norma OK 73019
3NIST Gaithersburg, 100 Bureau Dr., Gaithersburg, MD 20899

031, DUV-Vis-NIR OCD Metrology for BCD Semiconductor Manufacturing Yield Enhancements
Jeffrey W. Roberts1, John C. Lam1, Nikolaos Pallikarakis1, Kostas Florios1, Marco Colli2, Matteo Lombardo2, and Marcello Ravasio2
1n&k Technology San Jose, CA, USA
2STMicroelectronics Agrate Brianza MB, Italy

032, Nanoscale 3D X-Ray Imaging of Integrated Circuits using a Hybrid Electron/X-Ray Microscope
Nathan Nakamura1,2, Joseph W. Fowler1,2, Zachary H. Levine3, Paul Szypryt1,2, and Daniel S. Swetz1
1National Institute of Standards and Technology, Boulder, Colorado 80305, USA
2Department of Physics, University of Colorado, Boulder, Colorado 80309, USA
3National Institute of Standards and Technology, Gaithersburg, Maryland 20899, USA

033, Pushing the Speed and Resolution Limits of 3D X-ray for In-Line Metrology in Wafer Level Integration and Offline Defect Characterization
S.H. Lau, Sheraz Gul, Jeff Gelb, Tianzhu Qin, Sylvia Lewis, and Wenbing Yun
Sigray Inc, 1590 Solano Way, Suite A, Concord, CA 94520

034, Dopant and Thin Film Metrology using Laboratory-Based Micro-XRF in the Low Z and Low Energy Range
Benjamin Stripe, Frances Y. Su, Michael Lun, Tinchi Leung, Ian Spink, Sylvia Lewis, and Wenbing Yun
Sigray, Inc., 1590 Solano Way, Suite A, Concord, CA, United States

035, New Development of X-ray Assisted Device Alteration (XADA) for Circuit Debugging: A Solution for Backside Power Delivery (BPD)
Sylvia Lewis, Benjamin Stripe, Frances Su, Michael Lun, Quoc Nguyen, Mark Cordier, Stuart Coleman, S.H. Lau, and Wenbing Yun
Sigray, Inc., 1590 Solano Way, Suite A, Concord CA 94520

036, Solving the Metrology Challenges of High Aspect Ratio Features Using 3D Tomography
Shawn Sallis, Tian Lian, Jin Zhang, Ying Gao, and Osman Sorkhabi
Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538

037, Extreme Brightness X-ray Sources for Semiconductor Metrology
J. Hållstedt, E. Espes, G. Gopakumar, D. Nilsson, T. Dreier, B. A. M. Hansson, L. Kjellberg, and N. Kumar Iyer
Excillum AB, Jan Stenbecks Torg 17, 164 40 Kista, Sweden

038, Nanofocus X-ray Source for Improved Resolution in Advanced Packaging Metrology
T. Dreier, J. Hållstedt, E. Espes, G. Gopakumar, D. Nilsson, B. A. M. Hansson, and L. Kjellberg
Excillum AB, Jan Stenbecks Torg 17, 164 40 Kista, Sweden

039, Advancing High-Density 3D NAND TEM Sample Preparation with Ultrafast fs-Laser Milling in FIB-SEM-Laser Systems
Arun Prabha1 and Cheryl Hartfield2
1Carl Zeiss Pte Ltd 80 Bendemeer Road, #10-01, Singapore 339949
2Carl Zeiss Microscopy, LLC 5300 Central Pkwy, Dublin, CA 94568, United States

 

Created April 24, 2024, Updated April 30, 2024