Skip to main content
U.S. flag

An official website of the United States government

Official websites use .gov
A .gov website belongs to an official government organization in the United States.

Secure .gov websites use HTTPS
A lock ( ) or https:// means you’ve safely connected to the .gov website. Share sensitive information only on official, secure websites.

Traceable Atomic Force Microscope Dimensional Metrology at NIST

Published

Author(s)

Ronald G. Dixson, Ndubuisi G. Orji, Joseph Fu, Michael W. Cresswell, Richard A. Allen, William F. Guthrie

Abstract

The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope (AFM) dimensional metrology.  There are two major instruments being used for traceable measurements at NIST.  The first is a custom in-house metrology AFM, called the calibrated AFM (C-AFM), and the second instrument is the first generation of commercially available critical dimension AFM (CD-AFM): the Veeco SXM320.   The C-AFM has displacement metrology for all three axes traceable to the 633 nm wavelength of the Iodine-stabilized He-Ne laser. In the current generation of this system, the approximate standard uncertainty of pitch and step height measurements are 1.0  10-3 for pitches at the micrometer scale and step heights at the 100 nm scale.  We recently participated in an international comparison of measurements of two dimensional gratings for AFM calibration, in which we demonstrated expanded uncertainties as low as 1.0  10-3, and we expect to surpass this performance level soon.  The SXM320, since it has the capability of measuring vertical sidewalls, complements the C-AFM.  Although it does not have intrinsic traceability, it can be calibrated using standards measured on other instruments   such as the C-AFM, and we have developed uncertainty budgets for pitch, height, and linewidth measurements using this instrument.  We use the SXM primarily for linewidth measurements of near-vertical structures.  At present, the standard uncertainties are approximately 0.2 % for pitch measurements and 0.4 % for step height measurements.  As a result of the NIST single crystal critical dimension reference material (SCCDRM) project, it is possible to calibrate CD-AFM tip width with a 1 nm standard uncertainty.  We are now using the SXM320 to support the next generation of the SCCDRM project.  In prototypes, we have observed features with widths as low as 20 nm and having uniformity at the 1 nm level.
Proceedings Title
Proceedings of SPIE
Volume
6152
Conference Dates
February 20, 2006
Conference Location
San Jose, CA
Conference Title
Metrology, Inspection, and Process Control for Microlithography XX

Keywords

calibration, CD, CD-AFM, linewidth, metrology, reference measurement system, standards, traceability

Citation

Dixson, R. , Orji, N. , Fu, J. , Cresswell, M. , Allen, R. and Guthrie, W. (2006), Traceable Atomic Force Microscope Dimensional Metrology at NIST, Proceedings of SPIE, San Jose, CA (Accessed April 19, 2024)
Created March 1, 2006, Updated February 19, 2017