Skip to main content
U.S. flag

An official website of the United States government

Official websites use .gov
A .gov website belongs to an official government organization in the United States.

Secure .gov websites use HTTPS
A lock ( ) or https:// means you’ve safely connected to the .gov website. Share sensitive information only on official, secure websites.

Patterned Defect & CD Metrology by TSOM Beyond the 22 nm Node

Published

Author(s)

Ravikiran Attota, Abraham Arceo, Benjamin Bunday, Victor Vertanian

Abstract

Through-focus scanning optical microscopy (TSOM) is a novel method [1-8] that allows conventional optical microscopes to collect dimensional information down to the nanometer level by combining two-dimensional optical images captured at several through-focus positions, transforming conventional optical microscopes into truly 3D metrology tools for nanoscale to microscale dimensional analysis with nanometer scale sensitivity. Despite not being a resolution enhancement method, it has been shown to provide lateral and vertical measurement sensitivity of less than a nanometer using a conventional optical microscope [5], comparable to the dimensional measurement sensitivity of other CD metrology tools. The technique is capable of measuring features far beyond the theoretical limits of optical microscopy, due to the much richer data content collected at many z-heights (i.e., through focus). Additionally, TSOM appears to decouple profile dimensional changes at the nanoscale, such as small perturbations in sidewall angle and height, with little or no ambiguity, and may be able to analyze target dimensions ranging from as small as 10 nm to relatively large dimensions (up to many microns) with similar nanometer scale sensitivity. Furthermore, previous simulation and experimental work has shown this method to be applicable to a variety of target materials and structures, such as nanoparticles, semiconductor memory features, and buried structures under transparent films. Additionally, this relatively simple technique is inexpensive and has high throughput, making it attractive for a variety of semiconductor metrology applications, such as critical dimension (CD), photomask, overlay and defect metrologies [8]. In-line defect metrology is continuously challenged by the aggressive pace of device scaling in the semiconductor industry. It is expected that performance of conventional bright-field techniques currently used in semiconductor manufacturing will not be able to meet
Proceedings Title
Metrology Inspection and Process Control
Conference Dates
February 12-16, 2012
Conference Location
San Jose, CA
Conference Title
SPIE Advanced Lithography

Keywords

TSOM, Through-focus scanning optical microscopy, defect inspection, metrology, FinFET, double patterning, CD, critical dimension, metrology, optical microscopy

Citation

Attota, R. , Arceo, A. , Bunday, B. and Vertanian, V. (2012), Patterned Defect & CD Metrology by TSOM Beyond the 22 nm Node, Metrology Inspection and Process Control, San Jose, CA (Accessed March 29, 2024)
Created April 10, 2012, Updated February 19, 2017