Skip to main content
U.S. flag

An official website of the United States government

Official websites use .gov
A .gov website belongs to an official government organization in the United States.

Secure .gov websites use HTTPS
A lock ( ) or https:// means you’ve safely connected to the .gov website. Share sensitive information only on official, secure websites.

2017 FCMN Presentations

Invited Oral Presentations
Poster Presentations

Because of the large interest in the presentations given at this Conference and as a service to the semiconductor community, the organizers have made the slides from many of the talks and posters presented available here. These slides should be considered the sole property of the speaker/presenter. Please do not alter or reproduce any of the slides presented.

Adobe Acrobat Reader will be needed to view these documents. If you do not have this program, you may download it free of charge (link is external). The software is identified in order to assist users of this information service. In no case does such identification imply recommendation or endorsement by the National Institute of Standards and Technology.

The Conference organizers would like to thank each of the presenters who have made their slides available! Additional talks and posters will be added as we obtain permission from the speakers/authors.

Invited Oral Presentations

Conference Opening    

Welcome and Introduction
David Seiler, NIST

Keynote Talks

Semiconductor Metrology: Past, Present, and the Future
Dan Hutcheson, VLSI Research

Beyond CMOS Technologies
Aaron Thean, VP, National University of Singapore

TCAD

Metrology Requirements and Challenges for Advanced FinFET Technology Based on TCAD Simulation
Peter Zeitzoff, GlobalFoundries

Microscopy

Field Mapping with nm-spatial Resolution in Semiconductor Specimens in a Transmission Electron Microscope
David Cooper, CEA LETI Grenoble, France

Quantitative Atom Probe Tomography of Complex Systems
Devin Giddings, TSMC

Opportunities and Challenges for Hybrid Characterization and Metrology for Emerging Technologies
Markus Kuhn, Intel

Hybrid Metrology and Machine Learning to Make a Virtual Fab from a Lab
Johann Foucher, Pollen Metrology    

Diagnostic Techniques

Fault Isolation in IoT Age - Turning Challenges into Chances
Christian Boit, Technische Universität Berlin

Automated Work Flow for Process Control and Defect Analysis
Ozan Ugurlu, FEI

Examination of Advanced Technologies in Characterization, Diagnostics, and Verification at Different Stages in the Manufacturing Lifecycle of Packaged IC Devices
Colin Ritchie and Stuart Neches, Advantest

Magnetics/Spintronics

Overview of Magnetics/Spintronics
Kang Wang, UCLA

Nano-Magnetic Metrologies
Tom Silva, NIST

Novel Magnetic Nanoprobes: Imaging of Magnetism, Current Flow, and MRI Signals with Nanometer Resolution
Christian Degen, ETH Zurich

Metrology for Patterning

Challenges in Nanotopography Measurements at Die Level
Carlos Beitia, CEA-Leti

Critical Dimension Small Angle X-ray Scattering for the Semiconductor Industry
Joe Kline, NIST

OCD Metrology for Advanced Lithography
Bernd Boderman, PTB, Berlin

Muller Matrix-based Scatterometry
Alain Diebold, CNSE, SUNY Polytechnic Institute

Interface and Gate Stack Metrology

Chemical and Electrical Profiling of NanoLaminate Gate Stacks on SiGe
Andy Kummel, UCSD

Interfacial Electronic Characterization of Oxides/Metals on High Mobility Semiconductors Using in-situ Synchrotron Radiation Photoemission and the Correlation with the Interfacial Electric Properties
Minghwei Hong, National Taiwan Univ.

Beyond CMOS Characterization and Metrology

Electron Optics in Graphene Heterostructures with Nanopatterning
Scott Dietrich, Columbia

Creating an on/off Berry Phase Switch in Circular Graphene p-n Junctions
Christopher Gutierrez, NIST

XPS, STM, LEIS, …. of 2D Materials – Graphene, H-BN, and TMD Materials
Robert Wallace, UT Dallas

7-10 NM Metrology and Defect Inspection

State of the Art e-Beam Inspection Technology
Jack Jau, HMI

Extending Optical Inspection to the VUV
Keith Wells, KLA-Tencor

Optical Inspection at 10 nm Node and Beyond: Perspectives and Challenges
Mehdi Vaezlravani, Applied Materials

Current and Future Critical Dimension Metrology Technology Perspectives for Sub-10nm Processes
Mari Nozoe, Hitachi, Japan

2.5D/3D Packaging Metrology

Metrology and Characterization Challenges for Complex 2.5 D and 3 D Packaging
Bill Bottoms, Consultant

Novel Metrology Solution for Advanced Packaging Based on Multi-energy X-ray Microscopy and Tomography
Ehrenfried Zschech, Fraunhofer IKTS Dresden

Emerging Metrology

Future Needs of Characterization and Metrology for Silicon Qubits in Quantum Computing
Neil Zimmerman, NIST

Size Dependent Resistivity in Narrow Fins as Probed with Micro-FPP
Janusz Bogdanowicz, Imec

Reflective Small Angle Electron Scattering to Characterize Nanostructures
Wen-Li Wu, NIST

Individual Device Analysis Using Hybrid TEM-Scalpel SSRM Metrology
Umberto Celano, Imec

Super-resolution Single-Molecule Microscopy using Plasmonic Opti-Chip
Shubhra Gangopadhyay, University of Missouri / NSF

Poster Presentations

001, Direct Observation of Alloyed Contact Formation in Nanowire Cross-Section
Renjie Chen1, Katherine L. Jungjohann2, William M. Mook2, John Nogan2, and Shadi A. Dayeh1,3,4
1Dept of Electrical and Computer Engineering, Univ. of CA San Diego, La Jolla, CA
2Sandia National Laboratories, Albuquerque, NM
3Materials Science and Engineering Program, Univ. of CA San Diego, La Jolla, CA
4Dept of NanoEngineering, Univ. of CA San Diego, La Jolla, CA

002, Contribution of Luminescence Techniques for the Characterization of Materials and Devices at the Nanoscale
C. Licitra1, N. Rochat1, N. Gambacorti1, S. David2, G. R. Muthinti3, F. Olivier1, A. Faujour4, and A. Chabli4 
1Univ. Grenoble Alpes \ CEA, LETI, MINATEC Campus, Grenoble, France
2Univ. Grenoble Alpes \ CNRS, LTM, Grenoble, France
3IBM Research, Albany, NY
4Univ. Grenoble Alpes, INES, F-73375 Le Bourget du Lac, France

003, Seeing the Invisible: Metrology for Extended Defects in Beyond-Silicon Semiconductor Device Structures
Andreas Schulze1, Anna Prokhodtseva2, Tomas Vystavel2, David Gachet3, Jean Berney3, Roger Loo1, Wilfried Vandervorst1,4, and Matty Caymax1
1Imec, Leuven, Belgium
2Mat. & Struct. Analysis, Thermo Fisher Scientific, Czech Republic
3Attolight AG, Lausanne, Switzerland
4KU Leuven, Dept. of Physics and Astronomy, Leuven, Belgium

004, High Brightness MetalJet X-Ray Technology for Semiconductor Process Metrology
J. Hållstedt, U. Lundström, E. Espes, B. A. M. Hansson, O. Hemberg, G. Johansson, M. Otendal, T. Tuohimaa, and P. Takman
Excillum AB, Torshamnsgatan 35, 164 40 Kista, Sweden

005, Nanoelectronics Dimensional Metrology: Understanding the Differences Between Secondary and Backscattered Electron Imaging
Michael T. Postek, András E. Vladár, and John S. Villarrubia
National Institute of Standards and Technology (NIST), Gaithersburg, MD

006, High-Throughput X-ray CD Metrology
R. Loewen, M. Feser, R. Ruth, and M. Gifford 
Lyncean Technologies, Inc., Fremont, CA

007, Electrical Property Characterization of Vacuum-Channel Nanoelectronics Via Scanning Capacitance Microscopy
Jin Woo Han
NASA Ames Research Center, Moffett Field, CA

008, Assessing Scanning Electron Microscopy Stereophotogrammetry Algorithms with Virtual Test Samples
John S. Villarrubia, Vipin N. Tondare, and András E. Vladár
National Institute of Standards and Technology (NIST), Gaithersburg, MD

009, Development of a Nanometer Probe Helium Ion Microscope with Time of Flight Element Identification
Viacheslav Manichev 1, Alexander Livernois1, L. C Feldman1, T. Gustafsson1, D. Barbacci2, and A. Schultz2
1Rutgers University, Piscataway, NJ
2Ionwerks Inc., Houston, TX

010, Subsurface Nano-Imaging Using Torsional Scanning Probe Microscopy
Violeta Navarro, Maarten H. van Es, and Hamed Sadeghian
TNO, Stieltjesweg 1, 2628 CK Delft, The Netherlands

011, Engineering Ferroelectric Polymer Memories: Confounding Factors Which Obscure Polarization
Vasileia Georgiou1,2, Dmitry Veksler1, Jason P. Campbell1, Dimitris E. Ioannou2, and Kin P. Cheung1
1National Institute of Standards and Technology (NIST), Gaithersburg, MD
2Department of Electrical and Computer Engineering, George Mason University, Fairfax, VA

012, Nanoscale Chemical Imaging with Infrared Photo-Induced Force Microscopy
Sung Park, Derek Nowak, and Thomas Albrecht
Molecular Vista, Inc., San Jose, CA

013, ALPro System: An Electrical Profiling Tool for Ultra-Thin Film Characterization
Abhijeet Joshi and Bulent Basol
Active Layer Parametrics, Inc., Los Angeles, CA

014, Precision of Micro Hall Effect Measurements in Scribe Line Test Pads
Maria-Louise Witthøft1, Frederik W. Østerberg1, Janusz Bogdanowicz2, Andreas Schulze2, Wilfried Vandervorst2,3, Henrik H. Henrichsen4, Peter F. Nielsen4, Ole Hansen1 and Dirch H. Petersen1
1Department of Micro- and Nanotechnology, Technical University of Denmark, Lyngby, Denmark
2IMEC, Leuven, Belgium
3Instituut voor Kern- en Stralingsfysika, Leuven, Belgium
4CAPRES A/S, Scion-DTU, Lyngby, Denmark

015, Coherent Extreme Ultraviolet Light Reveals the Elastic Properties of Ultrathin Films
Travis D. Frazer1, Jorge N. Hernandez-Charpak1, Joshua L. Knobloch1, Begoña Abad Mayor1, Kathleen M. Hoogeboom-Pot1,2, Damiano Nardi1,2, Qing Li1, Marie Tripp2, Sean W. King2, Erik H. Anderson3, Weilun Chao3, Henry C. Kapteyn1, and Margaret M. Murnane1
1JILA and Department of Physics, University of Colorado, Boulder, CO
2Intel Corporation, Hillsboro, OR
3Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA

016, Thermoelectric Property of Silicon Nanowires Processed by Metal Assisted Dirctional Chemical Etching
David Rodriguez and Yong X. Gan
California State Polytechnic University, Pomona, CA

017, Time of Flight Backscattering Spectrometry and Secondary Ion Mass Spectrometry in a Helium Ion Microscope - Chemical Analysis on the nm Scale
N. Klingner1, R. Heller1, G. Hlawacek1, P. Gnauck2, S. Facsko1, and J. von Borany1
1Helmholtz-Zentrum Dresden-Rossendorf, Bautzner Landstr. 400, 01328 Dresden, Germany
2Carl-Zeiss-Microscopy GmbH, D-73447 Oberkochen, Germany

018, Atom Probe: Opportunities for CMOS Characterization
T.J. Prosa, I. Martin, Y. Chen, K.P. Rice, D.A. Reinhard, and D.J. Larson
CAMECA Instruments, Inc. Madison, WI

019, ICS X-ray Source for 3D Nano-Structured Metrology
Bradley J Nordell, Sudeep Banerjee, Grigory Golovin, and Donald Umstadter
Department of Physics and Astronomy, University of Nebraska-Lincoln, Lincoln, NE

020, Monitoring Accuracy and Robustness in On-Product Diffraction-Based Overlay Metrology
Arie den Boef1, Kaustuve Bhattacharyya1, Marc Noot1, Aiqin Jiang2, and Martin Jak1
1ASML Netherlands B.V., Veldhoven, The Netherlands
2ASML, Ballston Lake, NY

021, Assessing Quantitative Optical Imaging for Realizing In-Die Critical Dimension Metrology
Bryan M. Barnes, Mark-Alexander Henn, Hui Zhou, Martin Y. Sohn, and Richard M. Silver
National Institute of Standards and Technology (NIST), Gaithersburg, MD

022, Transmission and Reflection-Mode Imaging of Nanostructures with 13nm Illumination via Tabletop Ptychography CDI
Christina L. Porter1, Michael Tanksalvala1, Dennis F. Gardner1, Michael Gerrity1, Giulia F. Mancini1, Xiaoshi Zhang3, Galen P. Miley2, Elisabeth R. Shanblatt1, Benjamin R. Galloway, Charles S. Bevis1, Nara Noeur1, Robert Karl Jr., Daniel A. Adams1, Henry C. Kapteyn1, and Margaret M. Murnane1
1JILA, University of Colorado, Boulder, CO
2Department of Chemistry, Northwestern University, Evanston, IL
3KMLabs Inc., Boulder, CO

023, An FEL Source for CMOS Lithography and Characterization
Paul van der Heide, Obert Wood, and Erik Hosler
GLOBALFOUNDRIES, Malta, NY

024, Automated AFM for Small-Scale and Large-Scale Surface Profiling in CMP Applications
Ardavan Zandiatashbar1, Byong Kim1, Young-kook Yoo1, Keibock Lee1, Ahjin Jo2, Ju Suk Lee2, Sang-Joon Cho2, and Sang-il Park2
1Park Systems Inc., Santa Clara, CA
2Park Systems Corp., Suwon, Korea

025, Characterization of a Lab Based CD-SAXS Tool
W. D. Thompson1, R. Joseph Kline2, and Osman Sorkhabi1
1Lam Research Corporation, Fremont, CA
2National Institute of Standards and Technology (NIST), Gaithersburg, MD

026, Quantum Noise Effects in e-Beam Lithography and Metrology
T. Verduin, S.R. Lokhorst, C.W. Hagen, and P. Kruit
Delft University of Technology, Dept. Imaging Physics, Lorentzweg 1, 2628CJ Delft, The Netherlands

027, High Resolution EBI for Pattern Fidelity Monitor
Fei Wang, Brian Lee, Kevin Liu, and Jack Jau
HMI, an ASML Company, San Jose, CA

028, In-line 3D AFM for Critical Dimension and Sidewall Roughness of Si Photonic Waveguide and Correlation with Its Propagation Loss
T.-G. Kim1, P. Verheyen1, P. De Heyn1, T. Vandeweyer1, A. Miller1, M. Pantouvaki1, J. Van Campenhout1, A.-J. Jo2, S.-J. Cho2, and S.-I. Park2
1Imec vzw., Leuven, Belgium
2Park Systems, Suwon, South Korea

029, Reference Materials to Enable Precise and Accurate Imaging with Electrical Scanning Probe Microscopes
J. J. Kopanski, L. You, J. Corona, and Y. S. Obeng
National Institute of Standards and Technology (NIST), Gaithersburg, MD

030, Ultra-thin ALD HfO2 Growth Mechanism Studied By Atomic Force Microscope (AFM)
Charles C. Wang, Steven Hung, Naomi Yoshida, Tatsuya E. Sato, and Yuri Uritsky
Applied Materials, Inc., Santa Clara, CA

031, Ferroelectricity in Atomic Layer Deposited Hf1-xZrxO2 Nanoscale Films: Characterization By Synchrotron Grazing Incidence X-Ray Diffraction and Polarization Measurements
Sonal Dey1, Kandabara Tapily2, Steven Consiglio2, Robert D. Clark2, Cory S. Wajda2, Gert J. Leusink2, Arthur R. Woll3, Pankaj Sharma4, Suman Datta4, and Alain C. Diebold1
1CNSE, SUNY Polytechnic Institute, Albany, NY
2TEL Technology Center, America, LLC, Albany, NY
3Cornell High Energy Synchrotron Source, Ithaca, NY
4Department of Electrical Engineering, University of Notre Dame, Notre Dame, IN

032, Helium Ion Microscopy for Si Materials With Less Mechanical Damage
Shinichi Ogawa1, Nobuaki Tarumi2, Tomohiko Iijima1, Yumiko Shimizu2, and Yuji Otsuka2
1Nanoelectronics Research Institute, National Institute of Advanced Industrial Science and Technology (AIST) West 7A, 16-1 Onogawa, Tsukuba, Ibaraki 305-8569 Japan
2Toray Research Center Inc., 3-3-7 Sonoyama, Otsu, Shiga 520-8567 Japan

033, Reference-Free In-Depth Characterization of Nanoscale Layer Systems Using a Combined Grazing Incidence X-Ray Fluorescence and X-Ray Reflectometry Approach
Philipp Hönicke1, Blanka Detlefs2, Janis Eilbracht1, Yves Kayser1, Uwe Mühle3, Beatrix Pollakowski1, and Burkhard Beckhoff1
1Physikalisch-Technische Bundesanstalt (PTB), Berlin, Germany
2CEA-LETI/ESRF, Grenoble, France
3Fraunhofer IKTS, Dresden, Germany

034, From Large Research Instruments to an Industrial Control: X-Ray Photoelectron Spectroscopy Characterizations of Advanced Technology Gate Stack
L. Fauquier1,2,3, B. Pelissier2,3, J. Avila4, M.-C. Asensio4, D. Le Cunff1, D. Doloy1, C. Beitia2,5, and T. Baron2,3
1STMicroelectronics, Crolles, France
2Univ. Grenoble Alpes, Grenoble, France
3CNRS, LTM, MINATEC Campus, Grenoble, France
4Synchrotron SOLEIL, Saint Aubin, Gif-sur-Yvette, France
5CEA, LETI, MINATEC Campus, Grenoble, France

035, XPS/ARXPS in Thin Film and Nanomaterial Process Control
Chris Moffitt1, Simon Hutton2, and Sarah Coultas2
1Kratos Analytical, Inc., Chestnut Ridge, NY
2Kratos Analytical, Ltd., Wharfside, Manchester, UK

036, Evaluations of Graphene to Graphene Contacts
Jia-Bin Chen and Dung-Ching Perng
National Cheng Kung University, Tainan, Taiwan

037, Nanoscale Analysis of Conductance Switching Dynamics and Current Hysteresis in (GeTe)2/ Sb2Te3 Superlattice Films Using Scanning Probe Methods
Leonid Bolotov, Tetsuya Tada, Yuta Saito, Noriyuki Miyata, and Junji Tominaga
Nanoelectronics Research Institute, Nat. Inst. of Advanced Industrial Science and Technology (AIST), Tsukuba, Ibaraki, Japan

038, Thermal Cooling of High-Power Electronics Using SiO2 Nanoparticle Packings
Anil Yuksel1, Eric J. Tervo2, Baratunde A. Cola2, Paul S. Ho1, Michael Cullinan1
Zhuomin M. Zhang2, and Jayathi Murthy3
1The University of Texas at Austin, Austin, TX
2Georgia Institute of Technology, Atlanta, GA
3University of Califormia, Los Angeles, CA

039, An Electromechanical Spectroscopy for Determining the Atomic-Configuration of Single-Molecule Devices
Joshua Hihath
Department of Electrical and Computer Engineering, Davis, CA

040, Pico-Second Laser and Broad Argon Beam Tools for Chaacterization of Advanced Packages and Devices
Y.Wang, C. Spence, S. Coyle, J. Hunt, and M. Hassel Shearer
Gatan Inc., Pleasanton, CA

041, Examination of Advanced Technologies in Characterization, Diagnostics, and Verification at Different Stages in the Manufacturing Lifecycle of Packaged IC Devices041.pdf
Colin Ritchie1, Scott West1, Stuart Neches1, Eiji Kato2, and Masaichi Hashimoto2
1Advantest America, Inc., San Jose, CA
2Advantest Corporation, Matsubara, Kamiayashi, Aoba-ku, Sendai Japan

042, Characterization and Control of the Surface of the Topological Insulator Bi2Se3
Avery J. Green1, Lee A. Walsh2, Wesley Nolting1, Carl A. Ventrice Jr.1, Chris L. Hinkle2, and Alain C. Diebold1
1CNSE, SUNY Polytechnic Institute, Albany, NY
2Materials Science and Engineering, University of Texas at Dallas, Richardson, TX

043, Strain and Composition Monitoring in Various (Si)Ge Fin Structures Using In-Line HRXRD
Andreas Schulze1, Roger Loo1, Liesbeth Witters1, Hans Mertens1, Nadine Collaert1, Naoto Horiguchi1, Matthew Wormington2, Paul Ryan3, Wilfried Vandervorst1,4, and Matty Caymax1
1Imec, Leuven, Belgium
2Bruker Semiconductor Division, Santa Barbara, CA
3Bruker Semiconductor Division, Durham, UK
4KU Leuven, Dept. of Physics and Astronomy, Leuven, Belgium

044, In-Line Stress/Contamination Metrology for Advanced Semiconductor Device Using Micro Raman and Photoluminescence Measurements
Jae Hyun Kim1,2, Chang Whan Lee1, Hyung Won Yoo1, Byoung Ho Lee1, and Seung Min Han2
1SK hynix, Inc., Icheon-si, Gyeonggi-do, Korea
2Korea Advanced Institute of Science and Technology, Daejeon, Korea

045, Advanced Defect Classification By Optical Metrology
Jacques van der Donck, Esther Kramer, Peter van der Walle, Wouter Mulckhuyse, Jacqueline van Veldhoven, Loek Nijsten, Felipe Bernal Arango, Helma Spruit, and Diederik Maas
TNO Stieltjesweg 1, 2628 CK, Delft, The Netherlands

046, Automatic Detection of Dislocations in Strained SiGe With HCl Etch and Brightfield Inspection
Ralf Buengener and Jody Fronheiser
TD Research, GLOBALFOUNDRIES USA, Albany, NY

047, Inline X-ray Metrology of Epitaxial Thin Film for Gate-All-Around Structures
B. Mendoza1, P. Gin2, K.M. Matney2, M. Wormington2, J. Wall3, P. Ryan3, R. Chao1, and J. Gaudiello1
1IBM STR Albany, Albany, NY
2Bruker Semi Division, Santa Barbara, CA
3Bruker JV UK Ltd., Durham, UK

048, Nano-Particle Detection, Identification and Concentration Determination in Liquids for sub-10 nm Technology Nodes
Basil G. Eleftheriades1, Steve A. Rutledge1, Manuchehr Ebrahimi1, Emily E. Storey1, Marie Tripp2, Bhagrava Ravoori2, Tuyen K. Tran2, and Amr S. Helmy1
1Department of Electrical and Computer Engineering, University of Toronto, Toronto M5S 3G4, Canada
2Intel Corporation, Hillsboro, OR

049, Image Processing Software Assisted Quantitative Analysis of Various Digital Images in Process Monitoring, Process Control and Material Characterization
Woo Sik Yoo, Toshikazu Ishigaki, and Kitaek Kang
WaferMasters, Inc., San Jose, CA

050, Optical Critical Dimension Metrology for the 7 nm Node and Beyond Using a Near-Field Metalens
Jinlong Zhu1,2, Yating Shi2, Shiyuan Liu2, and Lynford L. Goddard1
1Photonic Systems Laboratory, University of Illinois at Urbana-Champaign, Urbana, IL
2State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan, China

051, Enhanced Defect Detection in Patterned Wafers Using a Plasmonic Waveguide Metalens Array
Jinlong Zhu, Sanyogita Purandare, and Lynford L. Goddard
Photonic Systems Laboratory, Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, IL

052, Combining Nano-Scale Inert-Gas Ion Microscopy and Secondary Ion Mass Spectrometry
Sybren Sijbrandij1, John Notte1, David Dowsett2, Jean-Nicolas Audinot2, and Tom Wirtz2
1Carl Zeiss Microscopy, LLC, Ion Microscopy Innovation Center, Peabody, MA
2Advanced Instrumentation for Ion Nano-Analytics (AINA), MRT Department, Luxembourg Institute of Science and Technology (LIST), Belvaux, Luxembourg

053, Analyzing Post-CMP Surface Topography from White Light Interference Microscopy
A.F. Bello, Jusang Lee, Shinichiro Kakita, and Nicholas Pieniazek
Advanced Module Engineering, GLOBALFOUNDRIES, Malta, NY

054, Characterization of Doping Distribution in Fin Field Effect Transistor (FinFET) Structures Representative for 14nm CMOS Technology and Beyond
M.J.P. Hopstaken1, M. Saccomanno1, M. Ebrish2, and O. Gluschenkov2
1IBM T.J. Watson Research Center, Yorktown Heights, NY
2IBM Research Albany Nanotech Center, Albany, NY

055, Optical Properties of Pseudomorphic Ge1-x-ySixSny on Ge
Nalin S. Fernando1, Ryan Hickey2, John Hart2, Ramsey Hazbun2, Dainan Zhang2, James Kolodzey2, and Stefan Zollner1
1Department of Physics, New Mexico State University, Las Cruces, NM
2Department of Electrical and Computer Engineering, University of Delaware, Newark DE

056, In-situ TEM Observation of Nickelide Contact Formation in InGaAs Nanowire Channels
Renjie Chen1 and Shadi A. Dayeh1,2,3
1Dept of Electrical and Computer Engineering, Univ. of CA San Diego, La Jolla, CA
2Materials Science and Engineering Program, University of CA San Diego, La Jolla, CA
3Dept of NanoEngineering, Univ. of CA San Diego, La Jolla, CA

057, High Precision Optical Characterization of Carrier Diffusion Length
Will Chism1 and Michael Current2
1
Xitronix Corporation, Austin TX
2Current Scientific, San Jose, CA

058, Crack Evolution In Cu/Low-K Stacks And Crack Stop Evaluation Using In-Situ Micro-DCB In A Nano-XCT Tool
Kristina Kutukova, Jürgen Gluch, Yvonne Standke, and Ehrenfried Zschech
Fraunhofer Institute for Ceramic Technologies and Systems, Maria-Reiche-Str. 2, 01109 Dresden, Germany

059, New Frequency Domain Fiber Optic Interferometry for Advanced Wafer, Micro-  and Nanostructure Metrology
Wojtek J. Walecki, Alexander Pravdivtsev, Jae Ryu, Nikos D. Jaeger, Yuen Lim, and Ann Koo
Frontier Semiconductor, San Jose CA

 

 

Created March 27, 2017, Updated July 15, 2022