Skip to main content
U.S. flag

An official website of the United States government

Official websites use .gov
A .gov website belongs to an official government organization in the United States.

Secure .gov websites use HTTPS
A lock ( ) or https:// means you’ve safely connected to the .gov website. Share sensitive information only on official, secure websites.

Optics for Extreme Ultraviolet Lithography

Published

Author(s)

Steven E. Grantham, Charles S. Tarrio, Shannon B. Hill, Thomas B. Lucatorto

Abstract

Extreme Ultraviolet Lithography (EUVL) is considered by many to be the next generation lithography that will fabricate integrated circuits in the next decade. Although EUVL is conceptually similar to optical or deep-UV lithography, it represents a major paradigm shift in the optical system. For example the sources under consideration are not lasers but are plasma-based radiators emitting a broad spectrum including 13.5 nm radiation which is used for the imaging process. Because 13.5 nm is well into the vacuum ultraviolet, the projection optics are not lenses but multilayered mirrors capable of reflecting about 70% of this radiation at near-normal incidence. The mirrors used must have exceptional figure accuracy. Multilayer coatings must add minimal figure errors and also must maintain their reflectivity for years under the harsh conditions of a production environment that includes ionizing radiation, water vapor and hydrocarbons. In this paper we discuss the various at-wavelength metrology challenges and will outline techniques used to measure the reflectivity and endurance of optics for use in EUVL systems.
Citation
Laser Focus World
Volume
41
Issue
11

Keywords

extreme ultraviolet lithography, extreme ultraviolet optics, multilayer mirrors

Citation

Grantham, S. , Tarrio, C. , Hill, S. and Lucatorto, T. (2005), Optics for Extreme Ultraviolet Lithography, Laser Focus World (Accessed March 29, 2024)
Created November 1, 2005, Updated February 21, 2017