Skip to main content
U.S. flag

An official website of the United States government

Official websites use .gov
A .gov website belongs to an official government organization in the United States.

Secure .gov websites use HTTPS
A lock ( ) or https:// means you’ve safely connected to the .gov website. Share sensitive information only on official, secure websites.

FCMN Confirmed Posters

The following posters are scheduled to be presented at the 2015 International Conference on Frontiers of Characterization and Metrology for Nanoelectronics. This list is subject to change.

  1. Optical Constants of Ni1-xPtx and Ni1-xPtxSi for In-LineContact Metrology
    Lina S. Abdallah1,*, StefanZollner1, Mark Raymond2, Ahmet S. Ozcan3, andChristian Lavoie4
    1Department of Physics, New Mexico State University, MSC 3D, LasCruces, NM, USA
    2GLOBALFOUNDRIES, Albany, NY, USA
    3IBM, 850 rue Jean Monnet, 38926 Crolles, France
    4IBM Research Division, Yorktown Heights, NY, USA
    *Now at: Intel Corporation, 2501 Northwest 229th Avenue, Hillsboro, OR, 97124, USA

  2. Metallic Contamination Analysis of 450 mm Wafers Using VPD-DC-ICPMS and LPD-ICPMS
    C. Agraffeil1, H. Fontaine1,T. Lardin1, V. Enyedi1, D. Noventa1, B.Charlet1, G. Delpu2, and T. Brillouet2
    1Univ. Grenoble Alpes, F-38000 Grenoble, France - CEA, LETI, MINATEC Campus, F-38054 Grenoble, France
    2RECIF Technologies, 9 Rue des briquetiers, 31703 Blagnac, France

  3. Positon Annihilation Spectroscopy: an Emerging Technique for Characterization of Oxygen Vacancies in Hf-based-high-k Materials?
    M. Alemany1,4, 3, P.Desgardin4, A. Chabli2, F. Bertin3, M.Gros-Jean1, M.-F. Barthe4
    1STMicroelectronics, 850 rue Jean Monnet, 38926 Crolles, France
    2Univ. Grenoble Alpes, INES, F-73375 Le Bourget du Lac, France, CEA, LITEN, Department of Solar Technologies, F-73375 Le Bourget du Lac, France
    3Univ. Grenoble Alpes, F-38000 Grenoble, France, CEA, LETI, MINATEC Campus, F-38054 Grenoble, France
    4CNRS, CEMHTI UPR3079, Univ. Orléans, F-45071 Orléans, France

  4. Further Development of Electron Tomography
    M. Birke, D. Utess, E. Langer, and H.-J. Engelmann
    GLOBALFOUNDRIES Module One LLC & Co. KG, Center for Complex Analysis, MS E23-MA, D-01109 Dresden, Germany

  5. Development and Characterisation of Scatterometry Reference Standards
    Bernd Bodermann1, Bernd Loechel2, Frank Scholze1, Johannes Endres1, Juergen Probst2, Matthias Wurm1, Max Schoengen2,Michael Krumrey1, Sven Burger3, and Victor Soltwisch1
    1Physikalisch-Technische Bundesanstalt,Bundesallee 100, 38116 Braunschweig and Abbestraße 2-12, 10587 Berlin, Germany
    2Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Albert-Einstein-Str. 15, D-12489 Berlin, Germany
    3JCMwave GmbH, Bolivarallee 22, D-14050 Berlin, Germany

  6. Nanostructure Imaging on Plasmonic Gratings by Epi-fluorescence Microscopy
    Biyan Chen1, Sangho Bok1, Samiullah Pathan1, Suresh Dhananjay2, Anandhi Upendran3,Raghuraman Kannan4, Cherian Mathai1, Keshab Gangopadhyay1,5, and Shubhra Gangopadhyay1
    1Dept of Elec. and Comp. Eng., Univ. of Missouri, Columbia, MO, USA
    2Department of Bioengineering, University of Missouri, Columbia, MO 65211, USA
    3School of Medicine, University of Missouri, Columbia, MO 65211, USA
    4Department of Radiology and Biological Engineering, University of Missouri, Columbia, MO 65211, USA
    5Nanos Technologies LLC, 8650 Westlake Road, Columbia, MO 65202 USA

  7. Quantifying Ellipsometric Precision for Multichannel Rotating-Element Spectroscopic Ellipsometers
    Yong Jai Cho, Won Chegal, Jeong PyoLee, and Hyun Mo Cho
    Division of Industrial Metrology, Korea Research Institute of Standards and Science, 267 Gajeong-ro, Yuseong-gu, Deajeon 305-340, Republic of Korea

  8. Sub-10nm-thick-carbon Nanotube Tip for AFM
    J. Choi1,2, K. Y. Jung3, S. K. Kanth1,4, B. C. Park1, H. S. Kim4, and L. Joon2
    1Korea Research Institute of Standards and Science, Daejeon 305-340, South Korea
    2Chungnam National University, Daejeon 305-764, South Korea
    3Nanofocus Inc., Seoul 152-050, South Korea
    4Sunmoon University, Asan 336-708, South Korea

  9. 300mm and 450mm Standard Calibration Wafers - A Standard Tool for Semiconductor Metrology Calibration and Matching
    Rand Cottle1, Menachem Shoval2, Yuval Agami2, Frank Tolic3, Stephen Bennett3, and Martin Rodgers3
    1Global 450mm Consortium, CNSE at SUNY Polytechnic Institute, Albany, NY2
    Metro450 Consortium, Office of Chief Science, MAGNET program, TelAviv, Israel
    3CNSE, Colleges of Nanoscale Science and Engineering at SUNY Polytechnic Institute, Albany NY

  10. Non-Destructive Compositional Metrology of NAND Memory and Emerging Non-Volatile Memories
    Olivier Dulac, Anna Meura, Anne-Sophie Robbes, Mona Moret and David J. Larson
    CAMECA, 29 quai des Grésillons, 92 622 Gennevilliers, France

  11. Breakthrough of STEM and FIB Automation in Critical Dimension Metrology of Wafer Manufacturing
    Jianxin Fang, Wei Hong, Jose Garjado, Juanita Castillo, Zhipeng Li, and Haifeng Wang
    Western Digital Corporation, 44100 Osgood Road, Fremont, CA 94539, USA
  12. Electron Energy-LossSpectroscopy (EELS) Analysis on MoS2 Layers Transferred on Silicon
    Karine Florent1, David MacMahon2, Andrea Brothers2, and Santosh Kurinec1
    1Microelectronic Engineering, Rochester Institute of Technology, Rochester NY, USA
    2Micron Technology Inc., Manassas, VA, USA

  13. Characterization of Near- to Far-Field Transformers by Interferometric Fourier-Scatterometry
    K. Frenner, V. Ferreras-Paz, and W.Osten
    Institut für Technische Optik (ITO), Universität Stuttgart, Pfaffenwaldring 9, D-70569 Stuttgart

  14. Transmitted Small Angle X-Ray Scattering Intensity Enhancement with a Designed Grating
    Wei-En Fu, Yen-Song Chen, Yun-SanChien, and Wen-Li Wu
    Center for Measurement Standards, Industrial Technology Research Institute,321 KuangFu Rd Sect 2, Hsinchu, Taiwan, ROC

  15. Potential of the EsB Detector in the Low Voltage Scanning Electron Microscopy (LVSEM): Application in Microelectronics
    Aránzazu Garitagoitia Cid1,2, Rüdiger Rosenkranz1, Martin Gall1, and Ehrenfried Zschech1,2
    1Fraunhofer Institute for Ceramic Technologies and Systems -Materials Diagnostics (IKTS-MD), Maria-Reiche-Str. 2, 01109 Dresden, Germany
    2Dresden Center for Nanoanalysis (DCN), TU Dresden, 01062 Dresden, Germany

  16. Correlative Atom Probe and STEM-HAADF Tomography of Nanodevices
    A.Grenier1, S.Duguay2, R.Serra1, J.P. Barnes1, G Audoit1, D Cooper1, D. Blavette2, N. Rolland2, and F. Vurpillot2
    1Univ. Grenoble Alpes, F-38000 Grenoble, France, CEA, LETI, MINATEC Campus, F-38054 Grenoble, France
    2Groupe de Physique des Matériaux, UMR 6634 CNRS —Université deRouen, BP 12, 76801 Saint Etienne du Rouvray Cedex, France

  17. Electron Tomography of Advanced Transistor Architectures
    Andrew A. Herzing1 and Jiong Zhang2
    1Material Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, MD 20899 USA
    2Intel Corp., 2501 NW 229th Ave, Hillsboro, OR, 97124 USA

  18. Reference-free, Depth-dependent Characterization of Nanoscaled Materials Using a Combined Grazing Incidence X-ray Fluorescence and X-ray Reflectometry Approach
    Philipp Hönicke1, BlankaDetlefs2, Claudia Fleischmann3, Matthias Müller1, Emmanuel Nolot2, Helen Grampeix2, and Burkhard Beckhoff1
    1Physikalisch-Technische Bundesanstalt (PTB), Abbestr. 2-12,10587 Berlin, Germany
    2CEA-LETI, 17 rue des Martyrs, 38054 Grenoble, France
    3imec, Kapeldreef 75, BE-3001 Leuven, Belgium

  19. Extreme Ultraviolet Nanometrology for Imaging of New Dynamics in NanoSystems
    Kathleen Hoogeboom-Pot1, Jorge Hernandez-Charpak1, Dennis Gardner1, MatthewSeaberg1, Bosheng Zhang1, Travis Frazer1, Elisabeth Shanblatt1, Christina Porter1, Robert Karl1, Erik Anderson2, Weilun Chao2, Justin Shaw3, Margaret Murnane1, Henry Kapteyn1, Daniel Adams1, and Damiano Nardi1
    1JILA, 440 UCB, University of Colorado, Boulder CO 80309
    2Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA 94720
    3Electromagnetics Division, NIST, Boulder, CO 80305

  20. Simulation of Ga Ion Induced Amorphization in Si During FIB Using TRIDYN Simulation
    Jin Huang1, Markus Loeffler1, Wolfhard Moeller2 and Ehrenfried Zschech1,3
    1Technische Universität Dresden, Dresden Center for Nanoanalysis (DCN), Helmholtzstrasse 18, 01187 Dresden, Germany
    2Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf, Bautzner Landstr. 400, 01328 Dresden, Germany
    3Fraunhofer Institute for Ceramic Technologies and Systems (IKTS), Maria-Reiche-Strasse 2, 01109 Dresden, Germany

  21. Characterization of Cross-Sectional Profile of Resist Pattern Using Grazing-Incidence Small Angle X-Ray Scattering
    Yoshiyasu Ito, Akifusa Higuchi, and Kazuhiko Omote
    Rigaku Corporation, 3-9-12 Matsubara-cho, Akishima, Tokyo, Japan 190-8666

  22. Metamorphic Growth-Related Defects and Electrical Properties in III-Sb Materials for High-Mobility p-MOSFETs
    A. P. Jacob1, S. Madisetti2,S. Sasaki2, V. Tokranov2, M. Yakimov2, M.Hirayama2, S. Bentley1, and S. Oktyabrsky2
    1GLOBALFOUNDRIES at Albany NanoTech, Albany, NY 12203, USA
    2SUNY College of Nanoscale Science and Engineering, Albany NY 12203,USA

  23. Analysis of Defects in SiGe and Ge
    Abhijeet Joshi and James Ewan
    Active Layer Parameterics, Inc., Los Angeles, CA 90024

  24. In-Situ Real-Time Monitoring and Control of Kinetic Processes in Atomic Layer Depositions By Spectroscopic Ellipsometry with 1.25 Hz Sampling Rate
    Marcel Junige1, Varun Sharma1, Ralf Tanner1, DanielSchmidt2, Greg Pribil3, Matthias Albert1,Mathias Schubert2, and Johann W. Bartha1
    1Technische Universität Dresden, Institute of Semiconductors and Microsystems, 01062 Dresden, Germany
    2Singapore Synchrotron Light Source, National University of Singapore, Singapore 117603, Singapore
    3J. A. Woollam Co. Inc., 645 M Street, Suite 102, Lincoln, Nebraska68508-2243, USA
    4Department of Electrical Engineering, University of Nebraska-Lincoln, Lincoln, Nebraska 68588-0511, USA

  25. Characterization of Plasma Process Induced Damage Near SiN/SiO2/Si Interface By Room Temperature Photoluminescence
    Jung Geun Kim1, Ho Jin Cho1,Sung Ki Park1, Seok-Hee Lee1, Byoung Gon Choi2,Jea Young An2, Young Il Cheon2, Young Ho Jeon2,Toshikazu Ishigaki3, Kitaek Kang3, and Woo Sik Yoo3
    1SK hynix, Icheon, Gyeonggi-do, 467-701, Korea
    2SK hynix, Cheongju, Chungcheongbuk-do, 361-480, Korea
    3WaferMasters, Inc., San Jose, California, 95112, USA

  26. Application of Raman Spectroscopy for Local Stress Methodology and Characterization of Amorphous Carbon and SiGe Films in Semiconductor Process Development
    Jae Hyun Kim1,2, Chang Hwan Lee2, Koon Ho Bae2, and Seung Min Han1
    1Graduate School of EEWS, Korea Advanced Institute of Science and Technology, 291 Daehak-ro, Yuseong-gu, Daejeon 305-701, Korea
    2SK Hynix, 2091, Gyeongchung-daero, Bubal-eub, Icheon-si, Gyeonggi-do, South Korea

  27. Positron Annihilation Lifetime Spectroscopy (PALS) on Advanced, Self-assembled Porous Organosilicate Glasses
    M. Kraatz1, A. Clausner1,M. Gall1, E. Zschech1, M. Butterling2, W.Anwand3, A. Wagner3, R. Krause-Rehberg2, and K. Pakbaz4
    1Fraunhofer Institute for Ceramic Technologies and Systems –Materials Diagnostics, Maria-Reiche-Str. 2, 01109 Dresden, Germany
    2Department of Physics, Martin Luther University Halle-Wittenberg, Von-Danckelmann-Platz 3, 06120 Halle Germany
    3Institute of Radiation Physics, Helmholtz-Zentrum, Dresden-Rossendorf, P.O. Box 510119, 01314, Dresden, Germany
    4SBA Materials, Inc., Albuquerque, 9430-H San Mateo Blvd. NE, New Mexico 87113, USA

  28. Terahertz Time-Domain Spectroscopy for Characterization of Doping Profiles in Semiconductors
    Santosh Kurinec1, Chih Yu Jen1,Gaurav Tulsyan2, and Christiaan Richer3
    1Microsystems Engineering, Rochester Institute of Technology,Rochester, NY 14623, USA
    2Materials Science & Engineering, Rochester Institute ofTechnology, Rochester, NY 14623, USA
    3Department of Chemical Engineering, Rochester Institute ofTechnology, Rochester, NY 14623, USA

  29. Real-Time Monitoring of Thin-Films Using Temperature-Controlled Ellipsometry for Nanotechnology Applications
    C. Licitra, F. Piegas Luce, F. Mazen,P. Noé, J. El-Sabahy, V. Jousseaume, E. Beche, and L. Vignoud
    Univ. Grenoble Alpes, F-38000 Grenoble, France
    CEA, LETI, MINATEC Campus, F-38054 Grenoble, France

  30. Analysis of Surfaces for Immobilization of Biomolecules Using Soft X-Ray Spectrometry
    A. Nutsch1, C. Streeck1,J. Weser1, B. Beckhoff1, D. Grötzsch2, W.Malzer2, P. Dietrich3, T. Fischer3, C.Nietzold3, K. Rurack3, and W. Unger3
    1Physikalisch-Technische Bundesanstalt (PTB), Abbe Strasse 2-12,10587 Berlin, Germany
    2Technische Universität Berlin, Hardenbergstr. 3610623 Berlin,Germany
    3Bundesanstalt für Materialforschung und –prüfung (BAM), Unter denEichen 87, 12205 Berlin, Germany

  31. Application of Helium Ion Microscopy to Direct Nano-patterning of Graphene
    Shinichi Ogawa and Yuichi Naito
    Nanoelectronics Research Institute, National Institute of Advanced Industrial Science and Technology (AIST) West 7A, 16-1 Onogawa, Tsukuba, Ibaraki 305-8569 Japan

  32. Sensitive Nanomaterials Detection and Analysis in Solution
    Janahan Ramanan1, Basil G.Eleftheriades1, Bhagrava Ravoori2, Marie Tripp2,Tuyen K. Tran2, and Amr S. Helmy1
    1Department of Electrical and Computer Engineering, University of Toronto, Toronto M5S 3G4, Canada
    2Intel Corporation, Hillsboro, OR, USA

  33. In-Die High Resolution Nanotopography Data, Impact in the CMP Process Monitoring for Advanced Nodes
    N. Ruiz1, F.Dettoni2,M. Rivoire1,2, V.Balan1, and C. Beitia1
    1Univ.Grenoble Alpes, F-38000 Grenoble France, CEA, LETI,MINATEC Campus, F-38054 Grenoble, France
    2STMicroelectronics, 850 rue Jean Monnet, 38926 Crolles, France

  34. High Resolution X-ray Diffraction for in-line Monitoring of Ge MOSFET Devices
    Paul Ryan1, MatthewWormington2, Jianwu Sun3,4, Andriy Hikavyy3,Yosuke Shimura3,5,6, Liesbeth Witters3, Hilde Tielens3,and Roger Loo3
    1Jordan Valley Semiconductors UK Ltd, Belmont Business Park, Belmont Durham, DH1 1TW, UK
    2Jordan Valley Semiconductors Inc., 3913 Todd Lane, Suite 106,Austin, TX 78744, USA
    3IMEC, Kapeldreef 75, B – 3001 Leuven, Belgium
    4Currently at the Department of Physics, Chemistry and Biology(IFM), Linköping University, Linköping 58183, Sweden
    5Instituut voor Kern- en Stralingsfysica, KU Leuven, 3001 Leuven, Belgium
    6FWO Pegasus Marie Curie Fellow

  35. Advanced Metrology for Beyond Silicon Semiconductor Device Structures
    Andreas Schulze1, RogerLoo1, Johan Meersschaut1, Dennis van Dorp1,David Gachet2, Jean Berney2, Wilfried Vandervorst1,3,and Matty Caymax1
    1imec, Kapeldreef 75, 3001 Leuven, Belgium
    2Attolight AG, EPFL Innovation Park Building D, 1015 Lausanne, Switzerland
    3KU Leuven, Dept. of Physics and Astronomy, Celestijnenlaan 200D,3001 Leuven, Belgium

  36. Advanced Broadband Optical Inspection on Complex Logic Structures Using NanoPoint at 28nm Design Nodes
    Robert Teagle1, HoangNguyen1, Ralf Buengener1, Peter Lin1, AnkitJain2, Sumanth Kini2, Rahul Lakhawat2, and RaviSanapala2
    1GLOBALFOUNDRIES, 400 StoneBreak Road Extension, Malta, NY 12020
    2KLA-Tencor, 2 Bayberry Drive, Malta, NY 12020

  37. Conductive Diamond Probes for Advanced Atomic Force Microscopy
    V. Usov1,2,3, N. O'Hara2,3,Z. Xiapu1,2, D. Scanlan2,3, A. Potie1,2,J. Boland1,2, and G.L.W. Cross1,2,3
    1School of Physics, Trinity College, Dublin 2, Ireland
    2Advanced Materials and BioEngineering Research Centre (AMBER),Trinity College, Dublin 2, Ireland
    3Adama Innovations Ltd., CRANN, Trinity College Dublin, Dublin 2.Ireland
  38. Three-Dimensional SEM Metrology for Nanoelectronics
    András E. Vladár and John S. Villarrubia
    National Institute of Standards and Technology, Semiconductor and Dimensional Metrology Division, Physical Measurements Laboratory, Gaithersburg, MD 20899 USA

  39. Grazing Incidence X-ray Fluorescence (GI-XRF) for Thin Film and Ultra-thin Film Thickness Metrology
    Charles C Wang, Nimoal Sun, Jiang Lu, Weifeng Ye, Chi Ching, and Yuri Uritsky
    Applied Materials, Inc., M/S 0203, 3100 Bowers Ave, Santa Clara, CA 95054

  40. Robust Optical Modeling of SiGe Layers
    Martin Weisheit1, Robert Melzer1, Henry Bernhardt1,and Adam Urbanowicz2
    1GLOBALFOUNDRIES Module 1 LLC & Co. KG, Wilschdorfer Landstraße 101, D-01109 Dresden
    2now at Nova Measuring Instruments

  41. Effects of Image Processing on Electron Diffraction Patterns Used for Nanobeam Diffraction Strain Measurements
    Mark Williamson1, Piet vanDooren1, and John Flanagan2
    1FEI Company, Achtseweg Noord 5, 5651 GG Eindhoven, The Netherlands
    2FEI Company, 5350 NE Dawson Creek Drive, Hillsboro, OR 97124

  42. Measurement Range Induced Electron Density Perturbations in X-Ray Reflectometry
    Donald Windover
    Materials Measurement Science Division, Materials Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, MD 20899, USA

  43. 2D and 3D Nanoscale Measurements of Electric and Magnetic Fields in Functional Materials with Electron Holography
    Daniel Wolf, Felix Börrnert, JonasKrehl, Andreas Lenk, Hannes Lichte, Axel Lubk, Falk Röder, Jan Sickmann, Sebastian Sturm, and Karin Vogel
    Triebenberg Laboratory, Institute of Structure Physics, Technische Universität Dresden, 01062 Dresden, Germany

  44. Barrier Modification of Metal-contact on Silicon by Sub-2 nm Platinum Nanoparticle
    H. Zheng1, S.C. Su1,S. Mukherjee1, K. Gangopadhyay1,2, and S. Gangopadhyay1
    1Department of Electrical and Computer Engineering, University of Missouri Columbia, Missouri 65201, U.S.A.
    2Nanos Technologies LLC, Columbia, Missouri 65203

Created February 5, 2015, Updated November 5, 2018