Skip to main content
U.S. flag

An official website of the United States government

Official websites use .gov
A .gov website belongs to an official government organization in the United States.

Secure .gov websites use HTTPS
A lock ( ) or https:// means you’ve safely connected to the .gov website. Share sensitive information only on official, secure websites.

2015 FCMN Presentations

Invited Oral Presentations

Poster Presentations

Because of the large interest in the presentations given at this Conference and as a service to the semiconductor community, the organizers have made the slides from many of the talks and posters presented available here. These slides should be considered the sole property of the speaker/presenter. Please do not alter or reproduce any of the slides presented.

Adobe Acrobat Reader will be needed to view these documents. If you do not have this program, you may download it free of charge. The software is identified in order to assist users of this information service. In no case does such identification imply recommendation or endorsement by the National Institute of Standards and Technology.

The Conference organizers would like to thank each of the presenters who have made their slides available!

Invited Oral Presentations

Conference Opening
Conference Opening and OverviewDavid Seiler, NIST

Conference Opening and OverviewEhrenfried Zschech, Fraunhofer

Keynote Talks
Characterization Challenges In The 28 nm Technology NodeHubert Lakner, Executive Director, Fraunhofer Institute for Photonic Microsystems

Techno-Economics Pressure In Semiconductor Value Chain May Impact Consumers And Global Economy – What Is Our Solution?
Suresh Venkatesan, Senior Vice President, Technology Development, Global Foundries

Nanoelectronics for MetrologyKlaus von Klitzing, Max-Planck-Institut FKF

General
Material Requirements for 3D IC and PackagingBill Bottoms, Chair of Packaging ITRS

Paradigm Shift in Metrology for Probing 3D-Structrures and Confined Volumes
Wilfried Vandervorst, Imec

Microscopy Metrology
Probing Optical and Electronic Properties of Defects Through Scanning Transmission Electron Microscopy and First-Principles TheorySteve Pennycook, University of Tennessee

Strain Characterization at Nanoscale Using Electron Beam Based TechniquesJean-Luc Rouviere, CEA-Leti

High-resolution X-ray Diffraction of Epitaxial Thin-Films and Patterned NanostructuresMatthew Wormington, Jordan Valley Semiconductors Ltd.

Electrical Characterization Metrology for New Memories
Characterization of Magnetic Nanostructures for STT-RAM Applications by Use of Macro- and Micro-Scale Ferromagnetic ResonanceTom Silva, NIST

Advanced and Novel Characterization Techniques
XRD/TEM/EELS Studies on Memory Device StructuresSantosh Kurinec, Rochester Institute of Technology

Positron Annihilation Spectroscopy Measurements for Porosimetry Determination of Micro-and Meso-porous SystemsReiner Krause-Rehberg, Martin Luther University Halle, Germany

Imaging Electron Motion in NanostructuresBob Westervelt, Harvard

Advances in Atom Probe MetrologyKaren Henry (Intel) and Thomas Kelly (Cameca)
 

Next Generation Defect Inspection Scatterfield Microscopy, Including the Fundamental Limits of Optical Defect Metrology
Rick Silver, NIST

Defect Inspection for Advanced Technology NodesTuyen Tran, Intel

Advances in Multi-Beam SEM Technology for High Throughput Defect InspectionGregor Dellemann, Carl Zeiss Microscopy GmbH

Characterization for 1D and 2D Electronics Element and Strain Analysis in Si NanowiresWalter Weber and Markus Löffler, Technical University Dresden, Germany

2D Materials Beyond Graphene for Future ElectronicsFrank Schwierz, TU-Ilmenau, Germany

Raman Spectroscopy in Graphene
Andrea Ferrari, Univ. of Cambridge

Metrology for Patterning
Metrology Challenges Towards N7
Philippe Leray, Imec

Current Status of CDSAXS: Is it Fab-Ready?Joe Kline, NIST

Metrology for CMOS and Beyond
CMOS Characterization/Metrology Challenges for the Lab to the FabPaul van der Heide, Global Foundries

Recent Progress in Advanced in-line Metrology for High-Mobility Semiconductors
Andreas Schulze, Imec

Thermal Wave Analysis of Implanted Layers in Semiconductors: Measurement Performance vs. Process RequirementsNicolas Siedl, Infineon

Nanoscale Thermal and Mechanical Characterization
Combined Nanoindentation and AFAM for Mechanical Characterization of Ultra Low-k Thin FilmsAndre Clausner, Fraunhofer IKTS Dresden, Germany

Phase Change Properties and Strain Engineering in 2D MaterialsEvan Reed, Stanford University

3D IC Analysis/Metrology
Thermo-mechanical Reliability of TSVsPaul Ho, UT Austin

Challenges and Solutions for Chip-Package Interaction
Kris Vanstreels, Imec

DFM and DFR Requirements for 3D Stacked Systems to Materials Data and Characterization TechniquesValeriy Sukharev, Mentor Graphics

Process and Materials Characterization: Perspectives from Wafer Level Processing for Packaging
Sesh Ramaswami, Applied Materials

X-ray Tomography for Process Development and Failure AnalysisJürgen Gluch, TU Dresden/Fraunhofer IKTS

New Scanning Acoustic Microscopy Technologies Applied to 3D Integration ApplicationsPeter Czurratis, PVA Tepla

Posters

Optical Constants of Ni1-xPtx and Ni1-xPtxSi for In-LineContact MetrologyLina S. Abdallah1,*, StefanZollner1, Mark Raymond2, Ahmet S. Ozcan3, and Christian Lavoie41Department of Physics, New Mexico State University, MSC 3D, LasCruces, NM, USA2GLOBALFOUNDRIES, Albany, NY, USA3IBM, 850 rue Jean Monnet, 38926 Crolles, France4IBM Research Division, Yorktown Heights, NY, USA*Now at: Intel Corporation, 2501 Northwest 229th Avenue, Hillsboro, OR, 97124, USA

Metallic Contamination Analysis of 450 mm Wafers Using VPD-DC-ICPMS and LPD-ICPMSC. Agraffeil1, H. Fontaine1,T. Lardin1, V. Enyedi1, D. Noventa1, B.Charlet1, G. Delpu2, and T. Brillouet21Univ. Grenoble Alpes, F-38000 Grenoble, France - CEA, LETI, MINATEC Campus, F-38054 Grenoble, France2RECIF Technologies, 9 Rue des briquetiers, 31703 Blagnac, France

Positon Annihilation Spectroscopy: an Emerging Technique for Characterization of Oxygen Vacancies in Hf-based-high-k Materials?M. Alemany1,4, 3, P.Desgardin4, A. Chabli2, F. Bertin3, M.Gros-Jean1, M.-F. Barthe41STMicroelectronics, 850 rue Jean Monnet, 38926 Crolles, France2Univ. Grenoble Alpes, INES, F-73375 Le Bourget du Lac, France, CEA, LITEN, Department of Solar Technologies, F-73375 Le Bourget du Lac, France3Univ. Grenoble Alpes, F-38000 Grenoble, France, CEA, LETI, MINATEC Campus, F-38054 Grenoble, France4CNRS, CEMHTI UPR3079, Univ. Orléans, F-45071 Orléans, France

Further Development of Electron TomographyM.Birke, D. Utess, E. Langer, and H.-J. EngelmannGLOBALFOUNDRIES Module One LLC & Co. KG, Center for Complex Analysis, MS E23-MA, D-01109 Dresden, Germany

Development and Characterisation of Scatterometry Reference StandardsBernd Bodermann1, Bernd Loechel2, Frank Scholze1, Johannes Endres1, Juergen Probst2, Matthias Wurm1, Max Schoengen2,Michael Krumrey1, Sven Burger3, and Victor Soltwisch11Physikalisch-Technische Bundesanstalt,Bundesallee 100, 38116 Braunschweig and Abbestraße 2-12, 10587 Berlin, Germany2Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Albert-Einstein-Str. 15, D-12489 Berlin, Germany3JCMwave GmbH, Bolivarallee 22, D-14050 Berlin, Germany

Non-conventional Structural and Chemical Characterisations for the Industry of Nano-electronicsE. Capria1,8, J. Beaucourt2, I. Bertrand6, N. Bicais3, E. Boller1, C. Curfs4,5, G. Chahine1, A. Fitch1, R. Kluender4,5, T.A. Lafford1, Y.M.Le-Vaillant6, F. Lorut3, J.S. Micha4,7, E. Mitchell1, O. Robach4,7, J.C. Royer4,5, T.U. Schülli1, and J. Segura-Ruiz2,81European Synchrotron Radiation Facility, Grenoble, France2Institute Laue-Langevin, Grenoble, France3ST Microelectronics, France4Univ. Grenoble Alpes, F-38000 Grenoble, France5CEA LETI, MINATEC Campus, F-38054 Grenoble, France6SOITEC, France7CEA/INAC and CNRS, Grenoble, F-38054, France8IRT NanoElec Advanced Characterisation Platform, Grenoble, France

Nanostructure Imaging on Plasmonic Gratings by Epi-fluorescence Microscopy
Biyan Chen1, Sangho Bok1, Samiullah Pathan1, Suresh Dhananjay2, Anandhi Upendran3,Raghuraman Kannan4, Cherian Mathai1, Keshab Gangopadhyay1,5, and Shubhra Gangopadhyay1
1Dept of Elec. and Comp. Eng., Univ. of Missouri, Columbia, MO, USA
2Department of Bioengineering, University of Missouri, Columbia, MO 65211, USA
3School of Medicine, University of Missouri, Columbia, MO 65211, USA
4Department of Radiology and Biological Engineering, University of Missouri, Columbia, MO 65211, USA
5Nanos Technologies LLC, 8650 Westlake Road, Columbia, MO 65202 USA

Quantifying Ellipsometric Precision for Multichannel Rotating-Element Spectroscopic Ellipsometers
Yong Jai Cho, Won Chegal, Jeong PyoLee, and Hyun Mo Cho
Division of Industrial Metrology, Korea Research Institute of Standards and Science, 267 Gajeong-ro, Yuseong-gu, Deajeon 305-340, Republic of Korea

Sub-10nm-thick-carbon Nanotube Tip for AFM J. Choi1,2, K. Y. Jung3, S. K. Kanth1,4, B. C. Park1, H. S. Kim4, and L. Joon2 1Korea Research Institute of Standards and Science, Daejeon 305-340, South Korea2Chungnam National University, Daejeon 305-764, South Korea3Nanofocus Inc., Seoul 152-050, South Korea4Sunmoon University, Asan 336-708, South Korea

300mm and 450mm Standard Calibration Wafers - A Standard Tool for Semiconductor Metrology Calibration and MatchingRand Cottle1, Menachem Shoval2, Yuval Agami2, Frank Tolic3, Stephen Bennett3, and Martin Rodgers31Global 450mm Consortium, CNSE at SUNY Polytechnic Institute, Albany, NY2Metro450 Consortium, Office of Chief Science, MAGNET program, TelAviv, Israel3CNSE, Colleges of Nanoscale Science and Engineering at SUNY Polytechnic Institute, Albany NY

Non-Destructive Compositional Metrology of NAND Memory and Emerging Non-Volatile MemoriesOlivier Dulac, Anna Meura, Anne-Sophie Robbes, Mona Moret and David J. LarsonCAMECA, 29 quai des Grésillons, 92 622 Gennevilliers, France

Liquid-metal-jet X-ray Tube Technology for Nanoelectronics Characterization and Metrology E. Espes, B. A. M. Hansson, O. Hemberg, G. Johansson, M. Otendal, T. Tuohimaa, and P. Takman Excillum AB, Torshamnsgatan 35, 164 40 Kista, Sweden

Breakthrough of STEM and FIB Automation in Critical Dimension Metrology of Wafer ManufacturingJianxin Fang, Wei Hong, Jose Garjado, Juanita Castillo, Zhipeng Li, and Haifeng WangWestern Digital Corporation, 44100 Osgood Road, Fremont, CA 94539, USA

Electron Energy-Loss Spectroscopy (EELS) Analysis on MoS2 Layers Transferred on SiliconKarine Florent1, David MacMahon2, Andrea Brothers2, and Santosh Kurinec11Microelectronic Engineering, Rochester Institute of Technology, Rochester NY, USA2Micron Technology Inc., Manassas, VA, USA

Characterization of Near- to Far-Field Transformers by Interferometric Fourier-ScatterometryK. Frenner, V. Ferreras-Paz, and W.OstenInstitut für Technische Optik (ITO), Universität Stuttgart, Pfaffenwaldring 9, D-70569 Stuttgart

Transmitted Small Angle X-Ray Scattering Intensity Enhancement with a Designed GratingWei-En Fu, Yen-Song Chen, Yun-SanChien, and Wen-Li WuCenter for Measurement Standards, Industrial Technology Research Institute,321 KuangFu Rd Sect 2, Hsinchu, Taiwan, ROC

Potential of the EsB Detector in the Low Voltage Scanning Electron Microscopy (LVSEM): Application in MicroelectronicsAránzazu Garitagoitia Cid1,2, Rüdiger Rosenkranz1, Martin Gall1, and Ehrenfried Zschech1,21Fraunhofer Institute for Ceramic Technologies and Systems -Materials Diagnostics (IKTS-MD), Maria-Reiche-Str. 2, 01109 Dresden, Germany2Dresden Center for Nanoanalysis (DCN), TU Dresden, 01062 Dresden, Germany

Correlative Atom Probe and STEM-HAADF Tomography of Nanodevices
A.Grenier1, S.Duguay2, R.Serra1, J.P. Barnes1, G Audoit1, D Cooper1, D. Blavette2, N. Rolland2, and F. Vurpillot2
1Univ. Grenoble Alpes, F-38000 Grenoble, France, CEA, LETI, MINATEC Campus, F-38054 Grenoble, France
2Groupe de Physique des Matériaux, UMR 6634 CNRS —Université deRouen, BP 12, 76801 Saint Etienne du Rouvray Cedex, France

Impact of Line Edge Roughness Patterns on the Reconstructed Critical Dimensions in ScatterometryH. Gross1, S. Heidenreich1, M.-A. Henn1, F. Scholze1, G. Dai1, A. Rathsfeld2, and M. B¨ar11Physikalisch-Technische Bundesanstalt, Braunschweig and Berlin, Germany2Weierstrass Institute for Applied Analysis and Stochastics, Berlin, Germany

Electron Tomography of Advanced Transistor ArchitecturesAndrew A. Herzing1 and Jiong Zhang21Material Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, MD 20899 USA2Intel Corp., 2501 NW 229th Ave, Hillsboro, OR, 97124 USA

Reference-free, Depth-dependent Characterization of Nanoscaled Materials Using a Combined Grazing Incidence X-ray Fluorescence and X-ray Reflectometry Approach
Philipp Hönicke1, BlankaDetlefs2, Claudia Fleischmann3, Matthias Müller1, Emmanuel Nolot2, Helen Grampeix2, and Burkhard Beckhoff1
1Physikalisch-Technische Bundesanstalt (PTB), Abbestr. 2-12,10587 Berlin, Germany
2CEA-LETI, 17 rue des Martyrs, 38054 Grenoble, France
3imec, Kapeldreef 75, BE-3001 Leuven, Belgium

 Extreme Ultraviolet Nanometrology for Imaging of New Dynamics in NanoSystems Kathleen Hoogeboom-Pot1, Jorge Hernandez-Charpak1, Dennis Gardner1, MatthewSeaberg1, Bosheng Zhang1, Travis Frazer1, Elisabeth Shanblatt1, Christina Porter1, Robert Karl1, Erik Anderson2, Weilun Chao2, Justin Shaw3, Margaret Murnane1, Henry Kapteyn1, Daniel Adams1, and Damiano Nardi1 1JILA, 440 UCB, University of Colorado, Boulder CO 803092Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 3Electromagnetics Division, NIST, Boulder, CO 80305

Simulation of Ga Ion Induced Amorphization in Si During FIB Using TRIDYN SimulationJin Huang1, Markus Loeffler1, Wolfhard Moeller2 and Ehrenfried Zschech1,31Technische Universität Dresden, Dresden Center for Nanoanalysis (DCN), Helmholtzstrasse 18, 01187 Dresden, Germany2Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf, Bautzner Landstr. 400, 01328 Dresden, Germany3Fraunhofer Institute for Ceramic Technologies and Systems (IKTS), Maria-Reiche-Strasse 2, 01109 Dresden, Germany

Characterization of Cross-Sectional Profile of Resist Pattern Using Grazing-Incidence Small Angle X-Ray Scattering Yoshiyasu Ito, Akifusa Higuchi, and Kazuhiko Omote Rigaku Corporation, 3-9-12 Matsubara-cho, Akishima, Tokyo, Japan 190-8666

Metamorphic Growth-Related Defects and Electrical Properties in III-Sb Materials for High-Mobility p-MOSFETsA. P. Jacob1, S. Madisetti2,S. Sasaki2, V. Tokranov2, M. Yakimov2, M.Hirayama2, S. Bentley1, and S. Oktyabrsky21GLOBALFOUNDRIES at Albany NanoTech, Albany, NY 12203, USA2SUNY College of Nanoscale Science and Engineering, Albany NY 12203,USA

Analysis of Defects in SiGe and Ge Abhijeet Joshi and James Ewan Active Layer Parameterics, Inc., Los Angeles, CA 90024

In-Situ Real-Time Monitoring and Control of Kinetic Processes in Atomic Layer Depositions By Spectroscopic Ellipsometry with 1.25 Hz Sampling Rate Marcel Junige1, Varun Sharma1, Ralf Tanner1, DanielSchmidt2, Greg Pribil3, Matthias Albert1,Mathias Schubert2, and Johann W. Bartha1 1Technische Universität Dresden, Institute of Semiconductors and Microsystems, 01062 Dresden, Germany2Singapore Synchrotron Light Source, National University of Singapore, Singapore 117603, Singapore3J. A. Woollam Co. Inc., 645 M Street, Suite 102, Lincoln, Nebraska68508-2243, USA4Department of Electrical Engineering, University of Nebraska-Lincoln, Lincoln, Nebraska 68588-0511, USA

Characterization of Plasma Process Induced Damage Near SiN/SiO2/Si Interface By Room Temperature PhotoluminescenceJung Geun Kim1, Ho Jin Cho1,Sung Ki Park1, Seok-Hee Lee1, Byoung Gon Choi2,Jea Young An2, Young Il Cheon2, Young Ho Jeon2,Toshikazu Ishigaki3, Kitaek Kang3, and Woo Sik Yoo31SK hynix, Icheon, Gyeonggi-do, 467-701, Korea2SK hynix, Cheongju, Chungcheongbuk-do, 361-480, Korea3WaferMasters, Inc., San Jose, California, 95112, USA

Application of Raman Spectroscopy for Local Stress Methodology and Characterization of Amorphous Carbon and SiGe Films in Semiconductor Process Development Jae Hyun Kim1,2, Chang Hwan Lee2, Koon Ho Bae2, and Seung Min Han1 1Graduate School of EEWS, Korea Advanced Institute of Science and Technology, 291 Daehak-ro, Yuseong-gu, Daejeon 305-701, Korea 2SK Hynix, 2091, Gyeongchung-daero, Bubal-eub, Icheon-si, Gyeonggi-do, South Korea

Positron Annihilation Lifetime Spectroscopy (PALS) on Advanced, Self-assembled Porous Organosilicate GlassesM. Kraatz1, A. Clausner1,M. Gall1, E. Zschech1, M. Butterling2, W.Anwand3, A. Wagner3, R. Krause-Rehberg2, and K. Pakbaz41Fraunhofer Institute for Ceramic Technologies and Systems –Materials Diagnostics, Maria-Reiche-Str. 2, 01109 Dresden, Germany2Department of Physics, Martin Luther University Halle-Wittenberg, Von-Danckelmann-Platz 3, 06120 Halle Germany3Institute of Radiation Physics, Helmholtz-Zentrum, Dresden-Rossendorf, P.O. Box 510119, 01314, Dresden, Germany4SBA Materials, Inc., Albuquerque, 9430-H San Mateo Blvd. NE, New Mexico 87113, USA

Terahertz Time-Domain Spectroscopy for Characterization of Doping Profiles in SemiconductorsSantosh Kurinec1, Chih Yu Jen1,Gaurav Tulsyan2, and Christiaan Richer31Microsystems Engineering, Rochester Institute of Technology,Rochester, NY 14623, USA2Materials Science & Engineering, Rochester Institute ofTechnology, Rochester, NY 14623, USA3Department of Chemical Engineering, Rochester Institute ofTechnology, Rochester, NY 14623, USA

Real-Time Monitoring of Thin-Films Using Temperature-Controlled Ellipsometry for Nanotechnology ApplicationsC. Licitra, F. Piegas Luce, F. Mazen,P. Noé, J. El-Sabahy, V. Jousseaume, E. Beche, and L. VignoudUniv. Grenoble Alpes, F-38000 Grenoble, France CEA, LETI, MINATEC Campus, F-38054 Grenoble, France

High Precision X-Ray Multilayer Mirrors for Customized Solutions S. Niese1, M. Krämer1, T. Holz1, P. Krüger2, S. Braun3, E. Zschech2, and R. Dietsch11AXO DRESDEN GmbH, Gasanstaltstr. 8b, 01237 Dresden, Germany2Fraunhofer IKTS-MD, Maria-Reiche-Str. 2, 01109 Dresden, Germany3Fraunhofer IWS Dresden, Winterbergstr. 28, 01277 Dresden, Germany

Analysis of Surfaces for Immobilization of Biomolecules Using Soft X-Ray Spectrometry
A. Nutsch1, C. Streeck1,J. Weser1, B. Beckhoff1, D. Grötzsch2, W.Malzer2, P. Dietrich3, T. Fischer3, C.Nietzold3, K. Rurack3, and W. Unger3
1Physikalisch-Technische Bundesanstalt (PTB), Abbe Strasse 2-12,10587 Berlin, Germany
2Technische Universität Berlin, Hardenbergstr. 3610623 Berlin,Germany
3Bundesanstalt für Materialforschung und –prüfung (BAM), Unter denEichen 87, 12205 Berlin, Germany

Application of Helium Ion Microscopy to Direct Nano-patterning of Graphene
Shinichi Ogawa and Yuichi Naito
Nanoelectronics Research Institute, National Institute of Advanced Industrial Science and Technology (AIST) West 7A, 16-1 Onogawa, Tsukuba, Ibaraki 305-8569 Japan

Sensitive Nanomaterials Detection and Analysis in Solution Janahan Ramanan1, Basil G.Eleftheriades1, Bhagrava Ravoori2, Marie Tripp2,Tuyen K. Tran2, and Amr S. Helmy1 1Department of Electrical and Computer Engineering, University of Toronto, Toronto M5S 3G4, Canada 2Intel Corporation, Hillsboro, OR, USA

In-Die High Resolution Nanotopography Data, Impact in the CMP Process Monitoring for Advanced NodesN. Ruiz1, F.Dettoni2,M. Rivoire1,2, V.Balan1, and C. Beitia11Univ.Grenoble Alpes, F-38000 Grenoble France, CEA, LETI,MINATEC Campus, F-38054 Grenoble, France2STMicroelectronics, 850 rue Jean Monnet, 38926 Crolles, France

High Resolution X-ray Diffraction for in-line Monitoring of Ge MOSFET DevicesPaul Ryan1, MatthewWormington2, Jianwu Sun3,4, Andriy Hikavyy3,Yosuke Shimura3,5,6, Liesbeth Witters3, Hilde Tielens3,and Roger Loo31Jordan Valley Semiconductors UK Ltd, Belmont Business Park, Belmont Durham, DH1 1TW, UK2Jordan Valley Semiconductors Inc., 3913 Todd Lane, Suite 106,Austin, TX 78744, USA3IMEC, Kapeldreef 75, B – 3001 Leuven, Belgium4Currently at the Department of Physics, Chemistry and Biology(IFM), Linköping University, Linköping 58183, Sweden5Instituut voor Kern- en Stralingsfysica, KU Leuven, 3001 Leuven, Belgium6FWO Pegasus Marie Curie Fellow

Advanced Metrology for Beyond Silicon Semiconductor Device Structures
Andreas Schulze1, RogerLoo1, Johan Meersschaut1, Dennis van Dorp1,David Gachet2, Jean Berney2, Wilfried Vandervorst1,3,and Matty Caymax1
1imec, Kapeldreef 75, 3001 Leuven, Belgium
2Attolight AG, EPFL Innovation Park Building D, 1015 Lausanne, Switzerland
3KU Leuven, Dept. of Physics and Astronomy, Celestijnenlaan 200D,3001 Leuven, Belgium

Advanced Broadband Optical Inspection on Complex Logic Structures Using NanoPoint at 28nm Design NodesRobert Teagle1, HoangNguyen1, Ralf Buengener1, Peter Lin1, AnkitJain2, Sumanth Kini2, Rahul Lakhawat2, and RaviSanapala21GLOBALFOUNDRIES, 400 StoneBreak Road Extension, Malta, NY 120202KLA-Tencor, 2 Bayberry Drive, Malta, NY 12020

Conductive Diamond Probes for Advanced Atomic Force Microscopy
V. Usov1,2,3, N. O'Hara2,3,Z. Xiapu1,2, D. Scanlan2,3, A. Potie1,2,J. Boland1,2, and G.L.W. Cross1,2,3
1School of Physics, Trinity College, Dublin 2, Ireland
2Advanced Materials and BioEngineering Research Centre (AMBER),Trinity College, Dublin 2, Ireland
3Adama Innovations Ltd., CRANN, Trinity College Dublin, Dublin 2.Ireland

Sub 20 nm Particle Inspection on EUV Mask BlanksPeter Bussink, Jean-Baptiste Volatier, Peter van der Walle, Erik Fritz, and Jacques van der DonckTNO, P.O. Box 155, 2600 AD, Delft, The Netherlands

Three-Dimensional SEM Metrology for NanoelectronicsAndrás E. Vladár and John S. VillarrubiaNational Institute of Standards and Technology, Semiconductor and Dimensional Metrology Division, Physical Measurements Laboratory, Gaithersburg, MD 20899 USA

Grazing Incidence X-ray Fluorescence (GI-XRF) for Thin Film and Ultra-thin Film Thickness MetrologyCharles C Wang, Nimoal Sun, Jiang Lu, Weifeng Ye, Chi Ching, and Yuri UritskyApplied Materials, Inc., M/S 0203, 3100 Bowers Ave, Santa Clara, CA 95054

Robust Optical Modeling of SiGe LayersMartin Weisheit1, Robert Melzer1, Henry Bernhardt1,and Adam Urbanowicz21GLOBALFOUNDRIES Module 1 LLC & Co. KG, Wilschdorfer Landstraße 101, D-01109 Dresden2now at Nova Measuring Instruments

Effects of Image Processing on Electron Diffraction Patterns Used for Nanobeam Diffraction Strain MeasurementsMark Williamson1, Piet vanDooren1, and John Flanagan21FEI Company, Achtseweg Noord 5, 5651 GG Eindhoven, The Netherlands2FEI Company, 5350 NE Dawson Creek Drive, Hillsboro, OR 97124

Measurement Range Induced Electron Density Perturbations in X-Ray Reflectometry
Donald Windover
Materials Measurement Science Division, Materials Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, MD 20899, USA

2D and 3D Nanoscale Measurements of Electric and Magnetic Fields in Functional Materials with Electron HolographyDaniel Wolf, Felix Börrnert, JonasKrehl, Andreas Lenk, Hannes Lichte, Axel Lubk, Falk Röder, Jan Sickmann, Sebastian Sturm, and Karin VogelTriebenberg Laboratory, Institute of StructurePhysics, Technische Universität Dresden, 01062 Dresden, Germany

Barrier Modification of Metal-contact on Silicon by Sub-2 nm Platinum Nanoparticle
H. Zheng1, S.C. Su1,S. Mukherjee1, K. Gangopadhyay1,2, and S. Gangopadhyay1
1Department of Electrical and Computer Engineering, University of Missouri Columbia, Missouri 65201, U.S.A.
2Nanos Technologies LLC, Columbia, Missouri 65203

 

 

Created February 12, 2015, Updated July 15, 2022